FPGA学习日志——双路选择器

双路选择器

  1. 通配符
  2. case语句
  3. 三木运算符
  4. 仿真中便于观察的系统函数

实验目的:完成一个双路数据输入,用户线选择输出的双路选择器

控制框图与波形:
在这里插入图片描述

实验代码:

module mux2_1
(
input wire [0:0] in_1,
input wire in_2,
input wire sel,//选通信号
output reg out
);
always@(*)//通配符,有变化就执行 if(sel==1'b1) out=in_1; else out=in_2; /* 第二种
always@(*)
case(sel)
1'b1:out=in_1;
1.b0:out=in_2;
default:out=in_1;//如果sel不能列举所有的情况,必须加default,此处列举完全则default可以省略
endcase
*/ /* 第三种
assign out =(sel==1'b1)?in_1:in_2;//三元运算符

*/
endmodule

通配符

实验输入中没有时钟输入,采用组合逻辑电路赋值即可。
always@(*)always@后面内容是敏感变量,其中*为敏感变量,并且敏感变量由综合器根据always里面的输入变量自动添加,不用自己考虑。

当没有@,那就是不会满足特定条件才执行,而是执行完一次后立马执行下一次,一直重复执行。
比如产生50Mhz的时钟:always #10 sys_clk = ~sys_clk

case语句

case(控制表达式/值)
分支表达式:执行语句
default : 执行语句
endcase

值得注意的是:当分支情况不能完全列出时,必须添加default语句,分支情况完全时可以不添加

三目运算符

assign out = A ? B : C;如果A为1,B赋值给out,否则C赋值给out

其中,和if else语句一样在判定后的赋值过程中可以嵌套使用。

仿真中便于观察的系统函数

initial
begin
``$timeformat(-9,0,“ns”,6); $monitor("@time %t:in_1=%b in_2=%b sel=%b out=%b",$time,in_1,in_2,sel,out); end

其中$timeformat(-9,0,"ns",6);-9表示显示时间格式为10-9ns对应,0表示小数点后打印的位数,6表示打印的最小数字字符为6个。
$monitor("@time %t:in_1=%b in_2=%b sel=%b out=%b",$time,in_1,in_2,sel,out);为检测打印函数

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Chendy_00

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值