linux下安装VCS的相关经验

1、安装前的准备
安装文件一共有五个文件夹,分别有以下用途:
1.vcs-mx_VL-2016.06:安装包位置,用于安装VCS2016
2.verdi_Vl-2016.06-1:安装包位置,用于安装Verdi_2016
3.scl_v11.9:安装包位置,用于安装scl_11.9,该程序负责统一管理 license等破解文件
4.synopsysInstaller:用于安装scl、VCS、Verdi
5.scl_keygen:用于生成破解license文件
(ps:有些linux系统版本太高级了,所以在别的地方下载后的安装包,一定要下载全,包括32位的和64位的,一定都要全部下载下来,避免后面因为版本的原因不兼容。)
2、环境变量配置
下面是我的环境变量配置:vi ~/.bashrc
export SynopsysList=/synopsys
export DVE_HOME= S y n o p s y s L i s t / v c s 2 016 / g u i / d v e e x p o r t V C S H O M E = SynopsysList/vcs_2016/gui/dve export VCS_HOME= SynopsysList/vcs2016/gui/dveexportVCSHOME=SynopsysList/vcs_2016
export VERDI_HOME=KaTeX parse error: Expected 'EOF', got '#' at position 30: …erdi_2016.06-1 #̲dve export PATH…PATH:KaTeX parse error: Expected 'EOF', got '#' at position 46: …='dve -full64' #̲VCS export PATH…PATH:KaTeX parse error: Expected 'EOF', got '#' at position 30: …lias vcs='vcs' #̲VERDI export PA…PATH:KaTeX parse error: Expected 'EOF', got '#' at position 36: … verdi='verdi' #̲scl export PATH…PATH:$SynopsysList/scl_11.9/amd64/bin
export VCS_ARCH_OVERRIDE=linux
#LICENCE
export LM_LICENSE_FILE=27000@ubuntu
alias lmg_vcs=‘lmgrd -c $SynopsysList/license/Synopsys.dat’
3、scl和vcs、verdi的安装
先mkdir一个synopsys文件夹,然后在该文件夹里面创建下面的文件夹:
在这里插入图片描述
把下载好的SynopsysInstaller.rar解压到3.3文件夹里。解压后的文件如下:
在这里插入图片描述
其中两个可执行文件都可以进行安装。installer为命令式安装,setup.sh为图形化式安装。执行./installer 或./setup.sh,如果出错,则在命令后面加-install_as_root。
在这里插入图片描述
举个scl的安装(其他两个安装类似)
前面几步直接确定就行(让你输入什么编号,你不输入也可以,直接enter)。
在这里插入图片描述
在这里插入图片描述
选择源文件,即你下载的安装包里面的镜像文件。目录输入你下载安装包的目录。我的直接在/mnt/hgfs里面。
在这里插入图片描述
扫描到了3中安装包,输入1
在这里插入图片描述
直接输入1
在这里插入图片描述
选择1 and 2,把32位和64位都安装上。
在这里插入图片描述
选择安装目录。目录为刚刚创建的文件夹scl_11.9,等待安装完成,再安装vcs和verdi,操作类似。
4、软件破解
在你的windows下打开你下载的scl_key,打开可执行程序。
在这里插入图片描述
红色方框里面,在linux中查看,ifconfig,可以看到host id,hostname可以查看Host name。
填好后生成。用记事本打开生成的Synopsys.dat文件。在第二行填上你的scl安装目录
在这里插入图片描述
保存到创建的lisence里面。
在linux下,输入lmg_vc破解,如果失败,是因为你的端口27000被占用。首先先释放掉你的27000端口。
sudo ufw allow 27000
sudo ufw enable
sudo ufw reload
sudo ufw status
然后查看27000端口被那些进程占用。
netstat -ap | grep 27000
kill -9 XXX(看到的占用端口的ID号)
之后再lmg_vc。成功破解
5、一些其他问题
(1)执行vcs test.v出现:
在这里插入图片描述
是因为没有安装32位的编译库
sudo apt install libc6-dev-i386
(2)试着将多个文件进行编译,并启动dve,出现
vcs -V -R counter_td.v counter.v clk_half.v -o simv -gui -debug_pp

在这里插入图片描述
命令不全,完整命令:
vcs -full64 -LDFLAGS -Wl,-no-as-needed -V -R clk_half.v counter.v counter_td.v -o simv -gui -debug_pp
在这里插入图片描述
大功告成。
这是本人亲自,弄了好久,中间走了好多路,才一步一步总结下来的vcs的安装,本着服务大家的态度,请参考我写的兄台们,注下我的出处。

  • 7
    点赞
  • 38
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值