linux的环境下,vcs+Verdi环境安装和配置

linux的环境下,vcs+Verdi环境安装和配置

0 安装包

转载自VCS2018在Ubuntu20 18安装步骤_lic: no 'set snpslmd_license_file or lm_license_fi-CSDN博客

百度网盘:链接:https://pan.baidu.com/s/19CtwqWcxpv-4wPyAD4_ITw

提取码:3pib

文件包括:

libpng12.so.0:后面可能会需要这个包

scl_keygen_2030:这个是License生成器

scl_v2018.06:这个是SCL安装包,用来

synopsysinstaller_v5.0:这个是安装器

vcs_vO-2018.09-SP2:这个是vcs的安装包

verdi-2018.9:这个是verdi安装包

1 下载镜像文件

在网上看到的教程,是在ubuntu的环境下安装的,本着前人栽树,后人乘凉的原则,也在ubuntu下进行安装,这里下载的版本最好是vivado支持的版本,见下图

图形用户界面, 文本, 应用程序, 电子邮件  描述已自动生成

2 安装vcs2018步骤

       1)创建目录,详细见下图

文本  描述已自动生成

在操作之前,切换到root用户,sudo su – Liscen

用来存放license文件,SCL2018vcs2018verdi是对应的安装路径;vcs_install用来存放安装包和安装器,另外所有的文件权限都改为chmod 777 ./

2)运行安装器进行安装

进入vcs_install/synopsysinstaller_v5.0文件夹,运行SynopsysInstaller_v5.0.run,会出现下面界面,提示选择安装器安装路径,直接在本路径下安装即可

文本  描述已自动生成

安装后出现setup.sh

图片包含 文本  描述已自动生成

3)安装vcs

运行setup.sh,进入安装界面,点击next依次安装Scl、vcs、verdi。下面以vcs安装为例:./setup.sh

Note:启动setup.sh不能root 用户执行,需要改为普通用户执行

图形用户界面, 文本, 应用程序, 电子邮件  描述已自动生成

选择安装包的路径

图形用户界面, 文本, 应用程序, 电子邮件  描述已自动生成

选择要安装的地址,就是开始创建的目录

图形用户界面, 文本, 应用程序, 电子邮件  描述已自动生成

点击下一步,进入选择界面,选择vcs

图形用户界面, 文本, 应用程序, 电子邮件  描述已自动生成

一路next,进入下面界面,点击Acceptinstaall,然后等待安装

图形用户界面, 文本, 应用程序, 电子邮件  描述已自动生成

一直到出现如下的界面。安装完会弹出失败的提示

图形用户界面, 文本, 应用程序  描述已自动生成

出现错误的原因见下图,主要是一个脚本没有执行,文档没有安装

可以到指定目录,执行相应的脚本,安装这个文档

图片包含 文本  描述已自动生成

可以跳转到这个目录,手动运行这个脚本,根据提示选择路径。也可以不管这个错误,点击OK

在这里插入图片描述

点击OK,安装完成,出现如下界面,点击Dismiss,vcs安装结束

文本  描述已自动生成

4)安装scl

运行setup.sh,按照上面步骤安装verdi SCL

5)安装verdi

3 生成license 文件

window下运行这个.exe文件,.exewindows下的执行文件,无法在linux下运行,所以先在window下生成License,再放到linux下。

图形用户界面, 应用程序  描述已自动生成

出现下面界面,图中需要修改三个地方,

在这里插入图片描述

1 2为电脑物理地址,通过ifconfig命令可以查看。填写物理地址的时候中间的记得去掉。3linuxhost-name,如下图所示。点击Generate,可以在本文件夹下看到Synopsys.dat,这个就是生成的License文件。

在这里插入图片描述

打开Synopsys.dat文件,需要修改的地方有一个

在这里插入图片描述

需要在1这个地方添加snpslmd的路径,注意路径记得改成自己的路径,如下图所示

文本  描述已自动生成

同时需要注意license有效期的问题,其他的license生成器可能生成的license有效期是2019,需要手动改成2030。本license生成器license有效期为2030,所以不需要改动。如下图所示

文本  描述已自动生成

改完之后把Synopsys.dat移到Liscen目录下。window下的文件放到linux下每行会有^M字符,这是因为linuxdoc的换行符不一样。需要通过下面命令去掉^M

dos2unix 文件名

将Synopsys.dat文件复制到…/synopsys/vcs_2018.06/license/和…/synopsys/verdi2018/license/两个文件夹中(这是你license对应的路径,修改成你自己的,如没有license文件夹,自己建即可)。

4 设置环境变量

通过下面命令在根目录下打开.bashrc,有其他编辑器也可以通过其他编辑器打开,如:sublime

gvim .bashrc

将下面内容复制进去,下面的路径记得改成自己的路径,改完后记得cd一下自己的路径,避免手残出错,找半天找不出来(详细见附录1的网址内容)

设置好环境变量后记得通过下面命令生效环境变量

source .bashrc

5 验证license

通过下面命令验证license,直到出现Passed,如下所示。如果多次验证依旧没有出现Passed,那么重新生成license,重新验证

sssverify 自己的license路径

文本  描述已自动生成

6 启动lmgrd

lmgrd是license管理工具,用于将license运行在后台,使软件正常工作,目前对于lmgrd理解只有这些。由于在环境变量设置了命令,所以输入lmli就可以启动lmgrd。大部分问题都出现这个地方!!!

问题一:

路径明明正确,通过ls也可以看到lmgrd,但它就是找不到lmgrd。

解决方案: 这是因为没有32位运行库导致的通过下面命令安装即可,第一个为Ubuntu下的命令,第二个是centos下的命令,根据需要选择一个执行命令

apt-get install lsb

yum install lsb

问题一:

再次执行lmgrd,提示没有/usr/tmp目录和在/usr/local/flexlm/licenses目录下找不到license。这个是肯定的,因为你压根就没有建这些目录

解决方案: /usr建立tmp目录,建立local/flexlm/licenses目录,把Synopsys.dat复制到licenses目录下

重新启动lmli,显示运行成功

文本  描述已自动生成

7 验证环境

1)vcs

vcs -help

解决办法: 这是因为Ubuntu下默认将sh链接到bash,输入下面命令重新链接

输入vcs -help,好了,你的努力不是白费的。

2)verdi

执行Verdi命令后

解决方案: 还记得第一步提到的那个库文件么,这时候就派上用场了。输入下面命令,注意libpng12.so.0是文件夹里面的文件,移动整个文件夹无法解决问题

sudo cp libpng12.so.0  /usr/lib/x86_64-linux-gnu/

再次输入verdi,Verdi界面可以成功启动

3)verdi

启动verdi显示如下错误:

/home/EDA_TOOLS/synopsys/verdi/verdi/Verdi_O-2018.09-SP2/bin/verdi: 56: /home/EDA_TOOLS/synopsys/verdi/verdi/Verdi_O-2018.09-SP2/bin/verdi: Syntax error: "(" unexpected

【解析】

 代码对于标准bash而言没有错,因为Ubuntu/Debian为了加快开机速度,用dash代替了传统的bash,是dash在捣鬼,解决方法就是取消dash

【解决】

sudo dpkg-reconfigure dash

在这里插入图片描述

选择no

8 开机启动

开机自动启动license

/etc/profile.d/目录下创建lmli.sh

cd /etc/profile.d/

sudo touch lmli.sh

sudo chmod 777 lmli.sh

写入下面的内容,保存并退出

`/usr/stone/software/SCL2018/scl/2018.06/linux64/bin/lmgrd -c /usr/stone/software/License/Synopsys.dat -l /usr/stone/software/SCL2018/scl/2018.06/linux64/bin/lmgrd.log`

好了,vcs和verdi的安装就到此结束,接下来开启自己的IC之旅吧

9 参考文章

参考文章:

VCS2018在Ubuntu20 18安装步骤

VCS2018在Ubuntu20 18安装步骤_lic: no 'set snpslmd_license_file or lm_license_fi-CSDN博客

vcs2018+verdi实现独立仿真带有Vivado IP核的工程

vcs2018+verdi实现独立仿真带有Vivado IP核的工程_vcs仿真vivado ip-CSDN博客

Ubuntu中安装Vivado软件

Ubuntu中安装Vivado软件_ubuntu安装vivado-CSDN博客

如何用VCS+Verdi仿真Xilinx IP

如何用VCS+Verdi仿真Xilinx IP_xilinx ip核如何用vcs编译-CSDN博客

ubuntu下,verdi语法错误Syntax error: “(“ unexpected

ubuntu下,verdi语法错误Syntax error: “(“ unexpected_/home/synopsys/verdi/verdi_o-2018.09-sp2/bin/verdi-CSDN博客

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值