web程序设计笔记(六)——公告栏


大家好 ! 我是【小黄】,因为我姓黄所以就叫小黄好了。
这博客是对自己学习和成长的一点点总结及记录,如果您对我写的还感兴趣 , 可以关注一下我的动态,我们一起学习 、共同成长和进步。

书本太薄写尽心中所想,却看不到来日方长。
杯盏太浅盛的下唇齿留香,却品不尽尘世沧桑。
余生太短,饮下杯中美酒,愿能忘却忧愁。
既然此生不能再回首,那就潇洒风流的向前走。
就算前路荆棘若虎口,至少还有我和温柔。
♡♡♡♡ ♡♡♡♡♡♡ ♡♡♡♡ ♡♡♡♡♡♡


创建时间:2020年9月27日

HTML 目录 :

1. 如何制作公告栏:

  • 编写过程都在代码里面了
  • 给代码加了注释,注意事项也写在代码里了方便大家查看

在这里插入图片描述

<!DOCTYPE html>
<html>
	<head>
		<meta charset="utf-8">
		<title></title>
	</head>
	<body>
		<table>
			<tr>
				<td bgcolor="aquamarine">公告&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;<a href="">更多>>></a></td>
					<tbody>
						<tr><td align="left"></td><td align="right"><a href=""></a></td></tr>
					</tbody>
				</td>
			</tr>
			<tr>
				<!-- 在td里面嵌套一个表格 -->
				<td>
					<!-- 表格里面嵌套表格 -->
					<!-- 一般都是CSS加div做布局 -->
					<table>
						<tr>
							<!-- 一行两列 说明2个td-->
							<!-- 插入图片 -->
							<td><img src="../img/扫码_搜索联合传播样式-白色版.png" width="300" height="150" ></td>
							<td>
								<table>
									<!-- 里面有7行 说明有7个 -->
									<!--一定要记住 tbody 里面是 tr  ,tr 里面是 td  , td里面是内容-->
									<tr><td><a href="">11111</a></td></tr>
									<tr><td><a href="">11111</a></td></tr>
									<tr><td><a href="">11111</a></td></tr>
									<tr><td><a href="">11111</a></td></tr>
									<tr><td><a href="">11111</a></td></tr>
									<tr><td><a href="">11111</a></td></tr>
									<tr><td><a href="">11111</a></td></tr>					
								</table>
							</td>
						</tr>
					</table>
				</td>
			</tr>
		</table>
	</body>
</html>

在这里插入图片描述


各位路过的朋友,如果觉得可以学到些什么的话,点个赞 再走吧,欢迎各位路过的大佬评论,指正错误,也欢迎有问题的小伙伴评论留言,私信。

每个小伙伴的关注都是本人更新博客的动力!!!
请微信搜索【 在下小黄 】文章更新将在第一时间阅读 !
在这里插入图片描述

把握现在 ,展望未来 ,加油 !


由于水平有限 ,写的难免会有些不足之处 ,恳请各位大佬不吝赐教 !

  • 3
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
FPGA自学笔记——设计与验证JMB FPGA(可编程逻辑门阵列)是一种可编程的硬件平台,可以实现各种数字电路的设计与验证。本文将简要介绍使用FPGA自学设计与验证JMB(低功耗、高效能、集成度高的多媒体芯片)的过程。 首先,我们需要了解JMB的功能和特性。JMB是一种面向多媒体应用的芯片,具备低功耗、高效能和高集成度的优势。我们需要详细研究JMB的硬件架构和内部模块,包括处理器核、存储器模块、图像和音频处理模块等。 接下来,我们可以使用FPGA开发板来设计和验证JMB。首先,我们需要熟悉FPGA设计工具,例如Vivado或Quartus等。这些工具提供了图形化界面和硬件描述语言(HDL)等设计方法。我们可以使用HDL编写JMB的功能模块,并将其综合为FPGA可执行的位流文件。 在设计完成后,我们需要验证JMB的功能和性能。我们可以使用仿真工具(例如ModelSim或ISE Simulator)来模拟JMB在不同情况下的行为。通过设计测试程序并运行仿真,我们可以验证JMB的各个模块是否正确地工作,是否满足设计要求。 在验证完成后,我们可以将位流文件下载到FPGA开发板中进行智能芯片的物理实现和测试。通过与外部设备的连接以及相关测试程序的运行,我们可以验证JMB在实际硬件中的功能和性能。 总结起来,学习FPGA设计与验证JMB,我们需要熟悉JMB的硬件架构和内部模块,并使用FPGA开发工具进行设计与验证。通过仿真和物理实现测试,我们可以验证JMB的功能和性能。这些过程需要理论知识和实践经验的结合,希望这些笔记能够给你提供一些参考和指导。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

在下小黄

ღ给个赞 是对小黄最大的支持

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值