移位相减除法器

该博客介绍了移位相减除法器的工作原理,包括基本算法:通过不断将除数左移并与被除数比较,更新商和进行减法操作,直到除数小于原除数。此外,还提到了RTL(寄存器传输级)代码实现,并指出测试方法是通过随机生成数据,使用Verilog的除法和乘法运算符来验证结果的准确性。
摘要由CSDN通过智能技术生成

移位相减除法器

基本算法

与使用移位相加实现加法一样,移位减法可以实现除法,基本算法如下描述

  1. 将除数向左移位直到比被除数大
  2. 使用移位后的除数与被除数比较,若除数大,则商向左移位1位后末尾补0;若除数小,则被除数累减除数,商向左移位1位后末尾补1
  3. 除数向右移位1位,重复2,知道除数小于原除数

RTL代码

移位相减算法比较简单,一个Verilog模块即可描述

module shiftsub_divider #(
    parameter WIDTH = 4
)(
    input clk,    // Clock
    input rst_n,  // Asynchronous reset active low

    input [2 * WIDTH - 1:0]dividend,
    input [WIDTH - 1:0]divisor,

    input din_valid,

    output reg [2 * WIDTH - 1:0]dout,
    output reg [2 * WIDTH - 1:0]remainder
);

定义端口,其中remainderWIDTH位均为0,可以不连接

reg [3 * WIDTH - 1:0]divisor_lock;
reg [WIDTH - 1:0]divisor_ref;
always @ (posedge clk or negedge rst_n) begin
    if(~rst_n) begin
        {divisor_lock,divisor_
  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值