MATLAB自修课_巴特沃斯滤波器

本文介绍了如何使用MATLAB从头构建一个二阶巴特沃斯低通滤波器,并与filter design tools的结果进行了对比验证。该滤波器模块具有可配置的截止频率和采样周期,且作为library模块方便复用。然而,目前仅支持二阶低通滤波,未来计划扩展到不同阶次和类型的滤波器。
摘要由CSDN通过智能技术生成

二阶低通滤波器的模型表示如下

关于butterworth滤波器:从原理出发,我重新对滤波器进行了建模( 见文末),下图是filter design tools 和我搭建的butterworth滤波器进行的对比,从图中可以看出,二者滤波结果完全吻合,可以证明搭建的滤波器可以放心直接用于工程建模
重建的滤波器具有以下优点:
① 基于基本逻辑搭建,避免复杂toolbox模块带来的冗余代码风险
② 本模块已进行封装,通过双击模型,可以写入截止频率和采样周期标定量,实现滤波器参数在测试工具上的修改
③ 本模块为library模块,可以直接在模型中复用
局限性:
该模块仅支持butterworth 二阶低通滤波,如果需要其他阶次的低/高/带通滤波器,需要重新建模(因为不同阶次对应不同传递函数,低/高/带通滤波器分别对应不同的Z变换,所以导致滤波器的numerator和denominator的计算公式不同)—关于此局限性,后续我会针对一阶 三阶,低/高/带通滤波器分别建模,建立不同滤波要求的butterworth滤波器库

在这里插入图片描述

模型搭建理论来源于该文章:(50条消息) [DSP] Butterworth (巴特沃斯)数字滤波器设计参考_Water’s Space-CSDN博客

在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值