Chisel 实现通用FIFO

通用 FIFO 可以定义如下:

  • 抽象数据类型
  • 抽象FIFO深度
  • 有握手信号的FIFO
import chisel3.util.log2Up
import chisel3._

// 数据类型
class DataBundle extends Bundle{
    val a = UInt(32.W)
    val b = UInt(32.W)
}

class Fifo[T <: Data](gen: T, n: Int) extends Module {
    val io = IO(new Bundle{
        val enqVal = Input(Bool())
        val enqRdy = Output(Bool())
        val deqVal = Output(Bool())
        val deqRdy = Input(Bool())
        val enqDat = Input(gen)
        val deqDat = Output(gen)
    })
    
    val enqPtr = RegInit(0.U(log2Up(n).W)) // 栈尾
    val deqPtr = RegInit(0.U(log2Up(n).W)) // 栈首
    val isFull = RegInit(false.B)  // 是否满了
    
    val doEnq = io.enqRdy && io.enqVal // 需要执行入栈,入栈操作开启且入栈的元素有效
    val doDeq = io.deqRdy && io.deqVal // 执行出栈
    
    val isEmpty = !isFull && (enqPtr === deqPtr) // 栈空
    
    val deqPtrInc = deqPtr + 1.U
    val enqPtrInc = enqPtr + 1.U
    
    // 判断接下来是否会满
    val isFullNext = Mux(doEnq && !doDeq && (enqPtrInc === deqPtr),  // 入栈,且不出栈,且栈接下会满 
                                             true.B , Mux(doDeq && isFull, // 要出栈,且满了
                                                         false.B, isFull))
    enqPtr := Mux(doEnq, enqPtrInc, enqPtr) // 入栈,改变尾,向后加一个元素
    deqPtr := Mux(doDeq, deqPtrInc, deqPtr) // 出栈,改变首,头向后移一个
    
    isFull := isFullNext
    val ram = Mem(n, gen)
    when(doEnq){
        ram(enqPtr) := io.enqDat
    }
    io.enqRdy := !isFull
    io.deqVal := !isEmpty
    
    ram(deqPtr) <> io.deqDat
}

// 具有 8 个 DataBundle 类型元素的 Fifo 实例化为:
println(getVerilog(new Fifo(new DataBundle, 8)))

然后可以将具有 8 个 DataBundle 类型元素的 Fifo 实例化为:

val fifo = Module(new Fifo(new DataBundle, 8))

也可以定义一个通用的解耦(就绪/有效)接口:

class DecoupledIO[T <: Data](data: T) extends Bundle {
  val ready = Input(Bool())
  val valid = Output(Bool())
  val bits  = Output(data)
}

然后可以使用此模板将握手协议添加到任何信号集:

class DecoupledDemo extends DecoupledIO(new DataBundle)

FIFO接口现在可以简化如下:

class Fifo2[T <: Data](gen: T, n: Int) extends Module {
    val io = IO(new Bundle{
        val enq = Flipped(new DecoupledIO(gen)) // Flipped是反转接口
        val deq = new DecoupledIO(gen)
    })
    
    val enqPtr = RegInit(0.U(log2Up(n).W)) // 栈尾
    val deqPtr = RegInit(0.U(log2Up(n).W)) // 栈首
    val isFull = RegInit(false.B)  // 是否满了
    
    val doEnq = io.enq.ready && io.enq.valid // 需要执行入栈,入栈操作开启且入栈的元素有效
    val doDeq = io.deq.ready && io.deq.valid // 执行出栈
    
    val isEmpty = !isFull && (enqPtr === deqPtr) // 栈空
    
    val deqPtrInc = deqPtr + 1.U
    val enqPtrInc = enqPtr + 1.U
    
    // 判断接下来是否会满
    val isFullNext = Mux(doEnq && !doDeq && (enqPtrInc === deqPtr),  // 入栈,且不出栈,且栈接下会满 
                                             true.B , Mux(doDeq && isFull, // 要出栈,且满了
                                                         false.B, isFull))
    enqPtr := Mux(doEnq, enqPtrInc, enqPtr) // 入栈,改变尾,向后加一个元素
    deqPtr := Mux(doDeq, deqPtrInc, deqPtr) // 出栈,改变首,头向后移一个
    
    isFull := isFullNext
    val ram = Mem(n, gen)
    when(doEnq){
        ram(enqPtr) := io.enq.bits
    }
    io.enq.ready := !isFull
    io.deq.valid := !isEmpty
    
    ram(deqPtr) <> io.deq.bits
}
  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

AlwaysDayOne

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值