FPGA驱动SPI屏幕(附完整工程)

一. 简介

相信大家都玩过屏幕,在FPGA上使用最多的就是VGA/HDMI接口的显示器了,这两种显示器的优点就不用说了,缺点就是体积比较大,而且价格比较贵,对于追求便携/价格低的我来说,SPI接口的屏幕才是我的首要选择,而且一般是可以带触摸的哦。

后面图像处理相关的代码都会基于此屏幕哦!

二. SPI屏幕驱动(驱动芯片ST7789V)

1. SPI模式

SPI时序就不介绍了,很最重且必须要掌握的协议。要想驱动屏幕,最关系的肯定是使用那种模式来驱动了,答案就在这里,数据在SCL时钟的上升沿被采样,数据在SCL时钟的下降沿切换(空闲的时候对SCL的电平不作要求),对应的模式为0和3

请添加图片描述

2. 初始化

摸清楚SPI的模式之后,就可以开始初始化屏幕了,最简单高效的方法当然是参考C语言的现实。

可以看出,初始化的过程就是依次发送命令和数据即可。那么如何辨别命令和数据呢?

请添加图片描述

通过下图可以清楚的知道,是通过DC信号线的电平来区别的(低电平表示当前发送的数据为命令)。

请添加图片描述

弄懂了上述问题之后,就可以按照C语言实现依葫芦画瓢,依次将命令和数据发送出去即可。另外有两点需要注意的是:

  1. 两条命令不能连续发送,中间需要停顿数个时钟周期;而数据则不需要。
  2. 命令到数据,或者数据到命令,是不能连续,中间需要停顿数个时钟周期。

请添加图片描述

另外这三个命令需要注意一下0x36,0x2A,0x2B,它们分别是设置显示的方向(还有就是数据是RGB还是BGR)、列地址和行地址。0x36设计到的东西比较多,需要详细了解的可以自行去翻阅数据手册。

经过上面的初始化,如果成功了,屏幕上显示出杂乱无章的图案。

3. 显示数据

C语言的实现如下,设置要显示X,Y的范围,也就是矩形的左上角和右下角的值,然后发送要显示的数据即可。非常简单。

请添加图片描述

三. FPGA实现

有了C语言作为参考,FPGA端的实现那还不是小菜一碟,总共只需要分为如下的三个模块:

  1. SPI主机模块: 用来和屏幕进行数据交互
  2. 屏幕初始化模块: 将初始化数据依次通过SPI主机模块发送给模块
  3. 屏幕刷新模块: 将显示数据依次通过SPI主机模块发送给模块

模块框图如下,有点小哈(⭐)

请添加图片描述

SPI主机模块和初始化模块非常简单,就不作说明啦!重点来说说刷新模块。


刷新模块不会像单片机中那样,调用的时候才会进行刷新,而且在初始化完成之后,就一直在对整个屏幕进行刷新了,

1. 模块状态跳转

模块由如下四个在状态组成,在发送前11个数据的时候,需要进入打啊奥延时状态,原因是这11个数据中既有命令也有数据(此数据,懂?), 后面则一直在DATA态,它只包括显示数据,所以不需要延时。最后一个显示数据发送完成后,就进入帧同步状态,表示一帧数据发送完成。

请添加图片描述

请添加图片描述

2. 图像显示数据

很easy!发送完前面的命令和数据后,剩下的全部都是显示数据了,都丢在default里面就可以了。这里暂时写死了(后面会将显示的数据修改为模块输入的数据)。

请添加图片描述

3. 模块端口

端口信号如下,着重关注用户接口的三个信号即可,非常easy!

请添加图片描述

四. 模块封装

spi模块top模块的接口如下,很明显不够完美,无法知道当前显示的像素坐标,而且图像数据一般为16bit的,而这个模块的输入为8bit的数据,另外在替换VGA接口的屏幕时候,也不能简单高效的替换下来,所以需要经过一次封装,将其与外部进行交互的端口信号封装为VGA接口的信号(近似)。

请添加图片描述

封装后的模块端口信号如下,其内部实现类似于VGA驱动。

请添加图片描述

五. 上板测试

测试代码如下,显示四个方块,是不是感觉so easy!。

请添加图片描述

测试图片如下,显示效果完美!!!!

请添加图片描述

关注 FPGA之旅 回复 FPGA驱动SPI屏幕 即可获取完整工程文件

  • 6
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
FPGA可以通过模拟SPI接口来驱动ADC。SPI接口通常用于与Flash、ADC、LCD控制器等设备进行通信。在FPGA中,可以使用FPGA内部的逻辑电路来模拟SPI接口的功能。通过控制FPGA的引脚和时序,可以实现与ADC的通信。 在模拟SPI接口时,需要定义时钟信号、复位信号、使能信号和数据信号等。时钟信号用于同步数据传输,复位信号用于初始化接口,使能信号用于控制数据传输的开始和结束,数据信号用于传输具体的数据。 具体实现时,可以使用FPGA的时钟模块来生成时钟信号,使用寄存器来存储和控制数据,使用逻辑门来实现SPI接口的功能。通过编写Verilog或VHDL代码,可以描述FPGA的逻辑电路,并进行仿真和验证。 在模拟SPI接口驱动ADC的过程中,需要根据ADC的规格和时序要求来配置FPGA的引脚和时钟频率。通过发送控制信号和数据,可以实现与ADC的通信和数据采集。 总结来说,FPGA可以通过模拟SPI接口来驱动ADC,通过配置引脚和时序,控制数据传输和采集。这样可以实现FPGA与ADC之间的数据交互和通信。\[1\]\[2\]\[3\] #### 引用[.reference_title] - *1* [D2--FPGA SPI接口通信2022-08-03](https://blog.csdn.net/weixin_40615338/article/details/126145035)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* *3* [FPGA_SPI驱动设计](https://blog.csdn.net/qq_43485409/article/details/109138893)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

FPGA之旅

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值