(Verilog使FPGA可以记住程序的方法!

以前只学过arduino,对于arduino来说,只要把代码烧进板子里,之后不必每用一次就再烧一遍程序,只要接电源就能用了。对于FPGA初学者来说,怎样才能让板子记住写的代码呢?
1.打开vivado,选择左边一栏的Setting
2.点击Bitstream选项
3.点击-bin_file后点OK
4.然后点击run ->run synthesis ->Run Implementation -> Generate Bitstream -> open target->auto connect
5.有一栏里找到xc7a35t右击选择add configuration memory device ,然后在弹出的框内看到Search,里面一开始写n25q64-3.3v,结果错了,报错提示not founded,后根据提示选了n25q32-3.3v(要把原来的n25q64那条给删了),然后在Configuration file里选择路径为当前文件的“文件名.runs"->"imp"中的bin文件。
6.将板子上的排针从JTAG换成QSPI。现在,板子已经记忆了程序,关掉vivado也可以运行了。将FPGA开发板给关了再重启就能运行了!看到右上角蓝灯持续亮(而不是闪烁)就能正常工作。
7.要是想正常用测试功能,也就是烧一次用一次,将跳线帽放到JTAG即可,不必更改设置。
8.想覆盖以前板子“记住”的程序,只需重复step1~step6即可。

可以参考一下这篇博文https://blog.csdn.net/u010830004/article/details/64438067

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值