自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(17)
  • 收藏
  • 关注

原创 PCB电源布线失败案例

上图是单片机的控制部分,为了下载方便就把单片机的电源增加了个开关,原理图没有任何问题,问题出在了PCB的布局上,如下图所示,最近空闲时间利用嘉立创的EDA画了个音频的板子如下图部分原理图,

2023-01-13 00:22:40 1149

原创 JFET/耗尽型MOSFET转移曲线

由于JFET和耗尽型MOSFET的特性相似,都符合肖克利方程,因此二者的转移曲线和直流工作点的分析相同,方式一是通过肖克利方程和偏置电压设置关系进行方程的解算得到数值解,较为精确,也可以通过图解法进行,绘制出转移曲线和偏置负载曲线,得到两个曲线的交点,图解法比较直观,因此对这种方式进行程序化设计,下面是matlab绘制转移曲线的程序,可根据器件手册进行参数变更,然后根据不同的偏执方案绘制曲线,

2022-12-26 23:14:22 689

原创 硬件电路接地设计

电路设计中的接地设计

2022-10-26 23:02:05 421

原创 Nios 测试程序

IP 封装NIOS测试程序

2022-10-24 22:24:26 141

原创 LED_TEST-顶层文件

LED_TEST-顶层文件

2022-10-24 22:23:07 212

原创 LED_IP

led IP封装示例

2022-10-24 22:21:40 87

原创 Intel FPGA IP封装/AVALON接口地址/NIOS地址设置

存储器接口IP 的AVALON 总线地址和NIOS 地址关系这里面需要注意的是地址偏移,地址偏移和地址线宽度无关,地址线宽度是地址范围的限制,如上图地址范围从19000~191ff,一共512个地址范围,2^8 2=512, 这里之所以要乘以2,是因为数据宽度是16,包含两个字节,也就是说地址宽度所能表示的寄存器计算单位是以数据位宽为单位的,也就是8位地址线可以表示256个16位寄存器,这些寄存器的地址偏移如下,偏移是以字节为单位的,因此16位的数

2022-10-24 22:19:17 690

原创 MDIO接口FPGA代码

其中start 标志需要用mdc做时钟,可以参考后面的仿真文件。支持 clause 22 标准。

2022-08-03 22:01:08 1016

原创 Error (209034): Can‘t find JTAG Server

安装了quartus18.1 版本,win10,ThinkPad T141,在设备管理里面可以看到USB-blaster,但是下载界面无法识别设备,设置里面也看不到;2,下载界面偶尔能看到blaster,但是半道下载失败提示Can’t find JTAG Server;3,蓝屏,打开下载界面卡顿,有时会蓝屏;4,上述问题重启机器都无法解决;具体原因是由于该版本的驱动有问题,官方已经证实,链接如下,点我-我是链接蓝屏问题链接既然是新版驱动有问题,那就改成老版的,目前17版本的驱动已经验证过没问题,也就

2022-06-30 07:35:43 148

原创 微弱电流监测电路

微弱电流检测最近项目上要用到一个pA级别的电流监测设备,因此准备搞一个监测设备玩玩,挑战一下,有进展随时更新。

2022-01-05 20:22:31 2133 3

原创 MOS管缓启动电路

NMOS和PMOS应用上有较大的区别

2022-01-02 22:28:49 15588 1

原创 555定时器应用

555定时器应用基础知识555内部构造比较器RS 触发器单稳态电路非稳态电路基础知识555内部构造所谓知己知彼百战不殆,在使用之前对芯片内部构造进行了解,能够帮助我们更好的使用和调试芯片。首先看下面的这张图,可以看到555定时器由比较器C1,C2,触发器RS,反相器D,以及三极管Q构成,当然这些部件还可以进一步解剖,但是没有必要。为了更好的使用,需要对这些部件的特点进行学习。比较器比较器其实就是一个开环的运放,放大倍数无穷大,所以状态只有两个极端值。当U+>U- 时,Uo=1, 高

2021-03-07 15:17:49 1085

原创 胡思乱想

乱谈论城镇化论城镇化

2021-03-04 23:07:45 73 1

原创 按键检测(二)

按键检测(二)前言代码实现前言基本功是每按一次按键,信号电平发生一次反转按键长按或者不按信号状态不发生变化,比如按下后LED点亮,此时继续保持按下或者松开,LED状态不变,当按键再次按下后,LED才会熄灭。应用场景:如果按键按下为高电平,那么就可以用来检测按键按下时的上升沿,或者中断的上升沿,调整防抖时延实现不同的灵敏度。代码实现typing。。。。。...

2021-02-18 23:39:50 468

原创 FPGA常用功能模块积累

常用FPGA功能开发一、按键检测(一).二、按键检测(二).三、串口收发模块.四、I2C通信模块.五、SPI通信模块.五、XXX开发中。。。。.

2021-02-18 23:18:34 735

原创 方波/分频输出

分频输出说明代码说明输入时钟50M输出时钟可根据参数进行选择代码module Friq_divid ( clk, rst_n, freq_o,);input wire clk;input wire rst_n;output reg freq_o;parameter Period_2000ms=32'd50000000;parameter Period_1000ms=32'd25000000;parameter Period_500ms=32'd12500000;p

2021-02-13 16:51:02 1432

原创 Verilog之按键检测(一)

Verilog之按键检测(一)使用说明正文使用说明//单个按键检测,//功能:当按键按下时,state_out 输出高电平,当按键松开后,state_out 输出低电平//应用场景:可用于按键检测,中断信号检测/********************************//时钟按照50M计算,检测时延20ms/正文module key_detect(clk,rst_n,key_in,state_out,);input wire clk;input rst_n;input

2021-02-13 16:26:27 4179 5

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除