自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(11)
  • 收藏
  • 关注

原创 DFT领域常用缩写及术语解释

MBIST:Memory build in self test,指在电路中加入针对memory的自测试电路,依据特定算法对memory进行读写测试操作,判断memory是否有制造缺陷。DFT:Design for Test 可测性设计,为了方便流片后的测试,在芯片内部专门设计一部分电路结构来提高芯片整体的可控制性和可观测性。AC测试:测试所用的时钟频率与芯片的真实工作频率一致,即at-speed测试,用来测试transition缺陷。

2023-04-02 17:34:05 1030

原创 DFT学习记录----Wrapping Cores(五)

wrapper shift信号可以使能scan数据通过wrapper chain进行移位,就像scan chain的scan-enable一样。wrapper shift信号可以有以下多种选择。使用工具创建的信号,默认情况下,DFT Compiler会自动创建一个wrapper shift信号,名字叫做wrp_shift。

2023-03-25 22:39:56 543

原创 DFT入门书籍推荐

对于刚接触DFT的同学来说,能找到几本相关的书籍进行学习能达到事半功倍的效果。或许大家可能会发现,其实专门讲DFT的书比较少。常见的有这两本《数字系统测试和可测试性设计》以及《VLSI测试方法学和可测性设计》。其中《数字系统测试和可测试性设计》一书从故障模型开始,介绍了扫描电路结构、测试向量生成、内建自测试以及测试压缩方法。《VLSI测试方法学和可测性设计》一书年限稍微久远一点儿,书中讲述了组合电路和时序电路的测试方法、扫描和边界扫描理论、IDDQ测试、随机和伪随机测试原理等。在这两本书中DFT相关的

2023-03-11 20:51:59 1226 1

原创 preview_dft 命令及报告详解

DFT Compiler提供了 preview_dft 命令,可以对DFT设计进行预览。preview_dft 和 insert_dft 所用的算法是相同的,只是 preview_dft 命令是把将要实现的DFT结构报告出来而不是真正要去实现它。因此 preview_dft 命令允许用户不进行逻辑综合就能对scan chain等信息进行预览,并且根据需求再调整DFT设计。在执行 preview_dft 命令前,必须要有一个有效的测试协议,可以使用 creat_test_protocol 命令创建一个或者使

2023-03-04 16:16:01 521

原创 门控时钟(clock gating)的DFT设计

在数字IC芯片中,时钟树的功耗占比可能高达30%,因此一般会采取门控时钟的方式来降低该部分的功耗。所谓门控时钟,就是在芯片实际工作过程中,有些信号或者功能并不需要一直开启,那么就可以在它们不用的时候将其时钟信号关闭。这样一来信号不再翻转,从而能够有效减少动态功耗。目前门控时钟都采用集成门控时钟单元(ICG,integrated clock gating cell),其结构如下。由一个latch和一个与门(也有的是或门)组成,可以过滤掉EN信号中的毛刺。一般的工艺库中都会有专门的ICG以供调用。插入IC

2023-03-02 21:53:13 1890

原创 DFT学习记录----Wrapping Cores(四)

为了避免core集成过程中的争用,三态和双向端口的wrapper形式和普通的I/O port不同,wrapper cell插入到控制和数据信号的驱动端。1.Three-State Ports什么是三态端口?三态端口的输出有三种状态:高电平状态、低电平状态、高阻状态。其中高阻状态电阻很大,相当于开路。三态端口通常有一个使能控制端,使能时端口导通,为正常的0或1输出;不使能时端口断开,对外呈高阻状态。对于一个三态端口,如下图所示,wrapper cell被加在了三态门驱动端的控制和数据路径上。当控制路径上

2023-02-27 23:45:43 294

原创 DFT学习记录----Wrapping Cores(三)

Maximized Reuse Core Wrapping Flow简单的core wrapping flow,在当function I/O寄存器没有通过简单的buffer或者invert直接连到 I/O port时,就会增加专用的wrapper cell而不是share wrapper cell。为了减少wrapper cell对timing和area的影响,DFTMAX工具提供了一个最大化复用的core wrapping flow,当上述情况下可以share I/O寄存器,也就是插入share wra

2023-02-23 00:29:59 1205

原创 DFT学习记录----Wrapping Cores(二)

The Simple Core Wrapping Flow简单的core wrapping flow提供了基本的core wrapping功能,主要介绍simple core wrapper cells和simple core wrapper chains。simple core wrapper cells简单的core wrapping flow所用到的wrapper cell有三种:Dedicated Wrapper Cell、Dedicated Safe-State Wrapper Cell和S

2023-02-18 16:57:13 1155

原创 DFT学习记录----Wrapping Cores(一)

本人作为新入职DFT工程师,正在阅读学习synopsys的DFT Compiler手册,在此既为学习记录,也为分享。为一个core design增加test wrapper,就成为了wrapped core。wrapped core在scan测试期间既可以提供测试访问(test access)又可以提供测试隔离(test isolation)。当将一个DFT-inserted core集成进top-level的design中时,core-level的扫描测试结构也被集成进了top-level。此时,如

2023-02-16 23:57:27 1604

原创 DFT简介之——扫描测试技术

在可测性设计(DFT)技术中,扫描测试(scan)技术可以说是最重要的一部分,其目的在于增强电路的可控制性和可观测性。在介绍扫描测试技术之前,首先要介绍一下缺陷和故障模型。我们知道DFT技术就是为了检测芯片生产制造过程中的缺陷的,CMOS工艺中常见的制造缺陷就是对电源或地的短路。制造缺陷会引发故障,如果芯片内部一个器件的引脚和电源短路了,那么这个引脚的电平就始终被强制拉高了,在数字电路中就是始终表现为逻辑1,此种故障称为固定为1的故障(stuck-at 1);同理,如果芯片内部一个器件的引脚和地短路了,那

2023-02-10 23:43:36 2334

原创 vim操作学习

新入职小白一枚,目前vim只会用i进入编辑模式,然后esc退出,其余操作啥也不会,工作效率极低。计划每天学习几个vim操作指令。~~~~~~~~~~~~~~~~~~~

2023-01-24 17:06:04 79

eetop.cn_Synthesis tool commands_2022.03.pdf

eetop.cn_Synthesis tool commands_2022.03.pdf

2023-03-13

2_eetop.cn_DFTCompiler DFTMAX and DFTMAX Ultra User Guide versionL-2016.03.pdf

2_eetop.cn_DFTCompiler DFTMAX and DFTMAX Ultra User Guide versionL-2016.03.pdf

2023-01-24

tessent edt dft手册 eetop.cn_edt_gd.pdf

tessent edt dft手册 eetop.cn_edt_gd.pdf

2023-01-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除