- 博客(3)
- 资源 (4)
- 收藏
- 关注
原创 VHDL八位全加器的设计
VHDL编程语言八位全加器的设计LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ADDER4B ISPORT ( CIN4 : IN STD_LOGIC;A4 : IN STD_LOGIC_VECTOR(3 DOWNTO 0);B4 : IN STD_LOGIC_VECTO...
2019-12-31 08:46:02 4036 2
原创 VHDL实现同步D触发器
异步D触发器library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity shiyan isport (d,clk,rst:instd_logic;q: out std_logic);end shiyan;architecture Behavioral of shiyan isbeginprocess (rst,clk)beginif (rs...
2019-12-30 22:00:36 3640
原创 智能小车寻迹仿真电路图和程序
智能小车寻迹仿真电路图和程序链接:https://pan.baidu.com/s/1WBfIbSWWp1ZEyKatnVt6sg提取码:15lv有需要着自行提取,
2019-12-28 13:44:59 3993
keil520_proteus配置.doc
2020-07-09
LNIST-12.doc
2020-04-08
空空如也
TA创建的收藏夹 TA关注的收藏夹
TA关注的人