白皮书《UVM实战》学习——2.2.1 最简单的验证平台

本文详述了如何构建一个基于UVM的最简单验证平台,重点介绍了driver的角色,DUT的定义,以及如何在top_tb中实例化和驱动DUT。内容涵盖DUT的功能、driver的构建过程、main_phase的实现,以及uvm_info宏的使用。
摘要由CSDN通过智能技术生成

标题如下:

第2章 一个简单的UVM验证平台

2.1 验证平台的组成

2.2 只有driver的验证平台

2.2.1 最简单的验证平台

2.2.2 加入factory机制

2.2.3 未完待续

正文在下面

2.2.1 最简单的验证平台

driver是整个验证平台数据流的源泉。

一、DUT是什么?

整个第二章用同一个DUT,实现一个很简单的功能。代码如下。这个DUT的功能就是在时钟上升沿,将输入的rxd、rx_dv给txd和tx_en。

module dut(clk,
           rst_n, 
           rxd,
           rx_dv,
           txd,
           tx_en);
input clk;
input rst_n;
input[7:0] rxd;
input rx_dv;
output [7:0] txd;
output tx_en;

reg[7:0] txd;
reg tx_en;

always @(posedge clk) begin
   if(!rst_n) begin
      txd <= 8'b0;
      tx_en <= 1'b0;
   end
   else begin
      txd <= rxd;
      tx_en <= rx_dv;
   end
end
endmo
  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值