标题如下:
第2章 一个简单的UVM验证平台
2.1 验证平台的组成
2.2 只有driver的验证平台
2.2.1 最简单的验证平台
2.2.2 加入factory机制
2.2.3 加入objection机制
未完待续
正文在下面
2.2.2 加入factory机制
在2.2.1节,在top_tb中,我们先是例化类my_driver,再是调用其任务phase即main_phase,这些都是手动完成的。如果要自动创建一个类的实例并调用其中的函数和任务,就需要引入UVM的factory机制。
一、引入factory机制之后的driver
下面是加入factory机制之后的my_driver.sv。
`ifndef MY_DRIVER__SV
`define MY_DRIVER__SV
class my_driver extends uvm_driver;
`uvm_component_utils(my_driver)
function new(string name = "my_driver", uvm_component parent = null);
super.new(name, parent);
`uvm_info("m