白皮书《UVM实战》学习——2.3.1 加入transaction

目录如下:

第2章 一个简单的UVM验证平台

2.1 验证平台的组成

2.2 只有driver的验证平台

2.2.1 最简单的验证平台

2.2.2 加入factory机制

2.2.3 加入objection机制

2.2.4 加入virtual interface

2.3 为验证平台加入各个组件

2.3.1 加入transaction

2.3.2

未完待续

正文在下面

2.3.1 加入transaction

这部分多了一个my_transaction.sv代码

2.2中的所有操作都是基于信号级的,2.3将引入reference model、monitor、scoreboard等验证平台的其他组件。在这些组件之间信息的传递是基于transaction的,因为2.3.1将先引入transaction的概念。

一、my_transaction

transaction是一个抽象概念,物理协议中的交换都是以帧或者包为单位的。以以太网为例,每个包的大小至少是64byte,包括源地址、目的地址、包的类型、整个包的CRC校验数据等。一笔transaction就是一个包,一个简单的transaction的定义如下:

`ifndef MY_TRANSACTION__SV
`define MY_TRANSACTION__SV

class my_transaction extends uvm_sequence_item;

   rand bit[47:0] dmac;
   rand bit[47:0] smac;
   rand bit[15:0] ether_type;
   rand byte      pload[];
   rand bit[31:0] crc;

   constraint pload_cons{
      pload.size >= 46;
      pload.size <= 1500;
   }

   function bit[31:0] calc_crc();
      return 32'h0;
   endfunction

   function void post_randomize();
      crc = calc_crc;
   endfunction

   `uvm_object_utils(my_transaction)

   function new(string name = "my_transaction");
      super.new();
   endfunction
endclass
`endif

代码分析如下:

① 第4行,类my_transaction派生自uvm_sequence_item,UV

  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值