自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(6)
  • 收藏
  • 关注

原创 行列按键控制(FPGA学习笔记五)

对行列按键的学习与实现,包含行列按键的原理、FPGA的完整实现过程,使用FPGA开发板artix-7A35ftg256-1。

2023-09-14 20:56:38 354 1

原创 VGA显示(FPGA学习笔记四)

对VGA的学习与实现,包含VGA的原理、FPGA的完整实现过程,使用FPGA开发板artix-7A35ftg256-1。

2023-09-09 10:21:51 759 1

原创 数码管亮灯显示(FPGA学习笔记四)

用按键驱动数码管提示:以下是本篇文章正文内容,下面案例可供参考。

2023-09-05 15:34:36 328

原创 FPGA学习笔记(三)

用FPGA生成序列11001,用灯的闪烁的为生成的信号提示:这里对文章进行总结:例如:以上就是今天要讲的内容,本文仅仅简单介绍了pandas的使用,而pandas提供了大量能使我们快速便捷地处理数据的函数和方法。

2023-09-04 09:57:34 409 1

原创 FPGA学习(二)

关于vivado中,ip核的生成与调用。今天主要学习了IP核的打包、调用。

2023-09-01 20:39:33 104 1

原创 FPGA学习笔记(一)

使用开发板为Xlinx Artix-7 A35TFTG256-1,软件平台为vivado2018版本。本文是个人学习FPGA的记录,涉及vivado的新建工程、编写verilog代码、编写仿真文件、编写约束文件、综合、测试、烧录。提示:以上就是今天对于FPGA的学习内容。

2023-08-31 20:14:31 154

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除