FPGA学习笔记(三)

内容包含时序逻辑电路(序列发生器)的FPGA实现


前言

用FPGA生成序列11001,用灯的闪烁的为生成的信号


一、画图

1 绘制状态图

在这里插入图片描述


2 绘制状态转移表

在这里插入图片描述


3 绘制次态卡诺图

在这里插入图片描述

4 得到转移方程

在这里插入图片描述

次态卡诺图画法参照:https://blog.csdn.net/zhendianluli/article/details/127132215

二、fpga设计、仿真、约束

1.设计代码

代码如下(示例):

module v_seq11001(
    input            clk,
    output          led     
    );
    reg   [31:0]clk_cnt=0;
    reg         clk_div=0;
    reg              q0=0;
    reg              q1=0;
    reg              q2=0;
    wire            led=0;
    always@(posedge clk) begin
    /*   system clk is 50M, it uses 1HZ  */
        if (clk_cnt==25) begin 
            clk_div=~clk_div;
            clk_cnt=0;
        end
        else begin
            clk_cnt=clk_cnt+1;
        end
     end
    assign led=~q1;
    always@ (posedge clk_div) begin
        q0<=q1&q2;
        q1<=~q1&q2|q1&~q2;
        q2<=~q0&~q2;
     end
       
endmodule

进行时钟分频,主频50MHz,分频之后1MHz


2.仿真代码

代码如下(示例):

module sim_seq;
    reg clk;
    wire led;
    v_seq11001 u1 (clk,led);
    initial begin
        clk=1;
    end
    always #10 clk=~clk; 
endmodule


3.约束代码

代码如下(示例):

## clk
set_property PACKAGE_PIN D4 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
## LED  
set_property PACKAGE_PIN P9 [get_ports led]
set_property IOSTANDARD LVCMOS33 [get_ports led]
endmodule


总结

提示:这里对文章进行总结:
例如:以上就是今天要讲的内容,本文仅仅简单介绍了pandas的使用,而pandas提供了大量能使我们快速便捷地处理数据的函数和方法。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
小梅哥在学习Xilinx FPGA期间进行了积极的探索,特别是对Cyclone V SOC的开发流程进行了学习。从裸机到基于Linux嵌入式系统,小梅哥实现了FPGA和ARM Cortex-A9 CPU之间的双向控制和数据传输。 在代码编写方面,小梅哥设计了一个名为mux2的模块,用于实现二选一多路器。模块包含了输入和输出端口,并通过assign语句实现了信号的赋值。 在激励文件中,小梅哥使用reg和wire定义了输入和输出信号,并通过mux2模块进行了实例化。然后,通过initial块生成了一系列的激励信号,对模块进行仿真。 总结来说,小梅哥在Xilinx FPGA学习笔记中记录了自己对Cyclone V SOC的学习、代码编写和激励文件的使用。这些学习内容对于理解FPGA开发流程以及实现特定功能非常有帮助。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [FPGA自学笔记——设计与验证VIP版.pdf](https://download.csdn.net/download/qq_30307853/11656682)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [小梅哥Xilinx FPGA学习笔记1——二选一多路器](https://blog.csdn.net/weixin_42454243/article/details/122026484)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值