![](https://img-blog.csdnimg.cn/20201014180756925.png?x-oss-process=image/resize,m_fixed,h_64,w_64)
实验代码
文章平均质量分 75
创芯人
与人交流,思想的互通才是快速成长的捷径,期待在博客寻得良师益友,为中国的半导体事业贡献自己的力量。
展开
-
Uart TB 代码解读及联调
uart项目详解原创 2023-02-18 17:09:12 · 463 阅读 · 0 评论 -
异步FIFO RTL代码解读
异步fifo RTL代码解读原创 2022-09-28 22:32:05 · 1140 阅读 · 3 评论 -
异步fifo TB代码详解
以下为异步fifo验证平台搭建的全部代码,本节内容重点针对验证代码进行全面分析原创 2022-09-27 00:24:24 · 2784 阅读 · 30 评论 -
异步FIFO实验小结
本小节内容主要针对异步FIFO进行设计验证,内容涵盖了对异步fifo的理解,时钟域的同步,格雷码使用,以及对验证功能点提取,覆盖率收集等内容,后续还会持续完善更多验证内容,以及添加一些面试的高频问题。原创 2022-09-25 23:57:18 · 5137 阅读 · 18 评论 -
MCDF--lab03
实验3 的部分我们主要就随机约束和环境结构做实践。在这一个试验中,大家将升级实验2 部分中对generator 和initiator 之间的数据生成和数据传输的处理,同时我们也将完善何时结束测试,将其主动权交于generator 而不再是test 组件。在组件结构实践部分中,大家将在原有的initiator、generator、agent 和test 组件的基础上再认识monitor 和checker,并且使其构成一个有机的整体,最终可以通过在线比较数据的方式完成对MCDT 的测试。原创 2022-09-04 15:59:47 · 586 阅读 · 0 评论 -
SV练习--随机化的约束种类(8/29)
随机约束基本练习,涉及到assert断言以及随机种子的理解原创 2022-08-29 23:44:18 · 606 阅读 · 0 评论 -
MCDF--lab02
实验2 的部分我们将主要回顾之前接口、仿真结束、类和包的使用。在这一个试验中,我们将逐渐从使用硬件盒子验证过渡到使用接口和软件盒子(class) 来验证设计。而这一个实验之所以重要也是因为它是硬件验证方式与软件验证方式之间的过渡,同时作为验证环境的启蒙,我们在本实验的最后一个小实验中也能够初步体会到类的继承和层次包含关系,而这些都将作为日后学习高阶UVM 知识的重要基础。......原创 2022-08-28 22:59:21 · 645 阅读 · 0 评论 -
MCDF--lab01
lab01练习原创 2022-07-10 12:32:28 · 330 阅读 · 0 评论 -
UVM--MCDF code
reg-pkg`include "param_def.v"package reg_pkg; import uvm_pkg::*; `include "uvm_macros.svh" // register sequence item class reg_trans extends uvm_sequence_item; rand bit[7:0] addr; rand bit[1:0] cmd; rand bit[31:0] data; bit rs原创 2022-05-08 23:00:36 · 718 阅读 · 0 评论