SV--类型转换

•    类型转换可以分为静态转换和动态转换
•    静态转换即需要在转换的表达式前加上单引号即可,该方式并不会对转换值做检查。如果发生转换失败,我们也无从得知。
•   动态转换即需要使用系统函数$cast(tgt, src)做转换。

•   静态转换和动态转换均需要操作符号或者系统函数介入,统称为显式转换
•   不需要进行转换的—些操作,我们称之为隐式转换。例如赋值语句右侧是4位的矢量,而左侧是5位的矢量,隐式转换会先做位宽扩展(隐式),然后再做赋值。

当我们使用类的时候,类句柄的向下转换,即从父类句柄转换为子类句柄时,需要使用$cast()函数进行转换,否则会出现编译错误,这一步也是编译器的保护措施,防止用户出现错误的赋值。

如果将子类句柄赋值给父类句柄时,编译器则认为赋值是合法的, 但分别利用子类句柄和父类句柄调用相同对象的成员时,将可能有不同的表现。

父类句柄转换成子类句柄

class Transaction;
rand bit[31:0] src;
 
function void display(input string prefix);
	$display("%s Transaction: src= %0d", prefix, src); 
endfunction
endclass 

class BadTr extends Transaction; 
bit bad_crc; 
function void display(input string prefix);
	$display("%s BadTr: bad_crc=%b", prefix, bad_crc); 
	super.display(prefix); 
endfunction 
endclass

/*Transaction tr; 
BadTr bad, bad2; */

Transaction tr;
BadTr bad; 
bad= new();   //构建BadTr扩展对象
tr= bad;     //基类句柄指向扩展对象
$display(tr.src);  //显示基类对象的变量成员
tr.display();      //调用父类的方法

tr = new();//创建一个父类对象
bad =tr; // ERROR: 编译错误
$display(bad.bad_ere); // bad_ere成员不在父类对象中

将一个父类句柄赋值给一个子类句柄并不总是非法的。

但是SV编译器对这种直接赋值的做法是禁止的,也就是说无论父类句柄是否真正指向了一个子类对象,赋值给子类句柄时,编译都将出现错误。

因此需要$cast(tgt, src)来实现句柄类型的动态转换。

$cast(tgt, src)会检查句柄所指向的对象类型,而不仅仅检查句柄本身。

一旦源对象和目的句柄是同一类型,或者是目的句柄的扩展类,$cast()函数的执行即会成功,返回1,否则返回0.

class Transaction;
rand bit[31:0] src;
 
function void display(input string prefix);
	$display("%s Transaction: src= %0d", prefix, src); 
endfunction
endclass 

class BadTr extends Transaction; 
bit bad_crc; 
function void display(input string prefix);
	$display("%s BadTr: bad_crc=%b", prefix, bad_crc); 
	super.display(prefix); 
endfunction 
endclass

Transaction tr; 
BadTr bad, bad2; 

Transaction tr;
BadTr bad; 
bad = new(); //创建BadTr子对象
tr =bad;     //父类句柄指向子类对象
//动态类型转换, 检查tr的源对象是否是bad2类型或者其子类 
//如果转换失败, 将报告错误信息
if (! $cast(bad2, tr))
$display ("cannot assign tr to bad2"); 
$display(bad2.bad_crc);   // bad2指向的对象包含band_src成员
bad2.display() ; //调用子类的方法 

 

  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

创芯人

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值