【编辑器】Notepad++编辑器,运行Verilog HDL 代码(带图)

最近入手Verilog 语言,检测代码是否正常、报错等;总结的教程如下;

1.安装好了,Notepad++;打开一个带有,Verilog的文件;

2.点击顶部的“运行”按钮;

3.输入指定的运行指令:

请将地址设置为:vlog.exe       的目录地址;

复制并修改指令,填充即可:

cmd /k cd "$(CURRENT_DIRECTORY)" &  D:\TCP\Modelsim\Setup\win64/vlog.exe "$(FULL_CURRENT_PATH)" & ECHO. & PAUSE & EXIT

4.运行结果如下

注:指令当中的空格,不能删除,可能会报错;

如有报错,请检查一下插件。

参考:https://www.cnblogs.com/chunk998/p/15635303.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值