MIPS微程序CPU设计(Logism)

【设计任务】

  1. 掌握多周期MIPS CPU中各条指令(8条指令)的数据通路;
  2. 掌握多周期MIPS CPU(8条指令和)微程序控制器设计的基本原理;
  3. 能利用相关原理在Logisim平台中设计实现8条指令的多周期微程序MIPS CPU,包括微程序地址转移电路,微程序控制器设计,微程序代码设计,CPU数据通路电路设计。

【设计方法和电路工作原理】

  1. 核心指令集8条 (可实现内存区域冒泡排序)
    在这里插入图片描述

2.指令的划分
在这里插入图片描述

3.电路实现指令译码逻辑
R型指令:ADD、SLT
I型指令:LW、SW、BEQ、BNE、ADDI
SysCall指令
在这里插入图片描述

  1. ALU控制器逻辑
    当AluOP=5时,ALU执行加法;当AluOP=6,ALU执行减法;当AluOP=(0B)H时执行有符号数比较。
    在这里插入图片描述

  2. 构建多周期MIPS CPU数据通路
    在这里插入图片描述

电路图:
在MIPS多周期CPU(微程序)子电路中,利用如下组件构建CPU数据通路 PC、MEM、IR、DR、RegFile、ALU、Controller。
在这里插入图片描述

  1. 实现微程序地址转移逻辑
    在这里插入图片描述

由指令状态变换图可得
R_Type的微程序入口地址为7;ADDI的微程序入口地址为11
LW的微程序入口地址为2;SW的微程序入口地址为5
BEQ的微程序入口地址为7;BNE的微程序入口地址为10
SYSCALL的微程序入口地址为13
利用微程序地址转移逻辑自动生成自动生成逻辑表达式
在这里插入图片描述

将表达式输入至组合逻辑电路分析表达式中,即可自动生成微程序地址转移逻辑。
在这里插入图片描述

在这里插入图片描述
在这里插入图片描述

7.根据状态图构建微程序
在这里插入图片描述

  1. 多周期MIPS取指令阶段T1
    在这里插入图片描述

此时,AluSrcB=01,即SrcB=4;IRWrite=1表示写入当前指令,PCWrite=1表示PC更新为PC+4;MemRead表示读取内存,P=0表示下一条指令按下址字段取指令,即下一阶段是译码阶段。译码指令的微指令地址为0001,即下址为0001
在这里插入图片描述

所以取指令对应的微指令为(13201)H
2) 多周期MIPS取指令阶段T2
在这里插入图片描述

此时P=1,由于下一条指令由微程序入口决定。
在这里插入图片描述

  1. R型指令执行状态周期T3~T4
    在这里插入图片描述
    在这里插入图片描述

R1阶段 AluControl=10即运算方式由Func决定,AluSrcA=1表示ScrA取R1的值。下一微指令是R2,即下址为1000.
R2阶段写回寄存器RD,RegDst=1写回选中RD,同时RegWrite=1写入寄存器。回到取指令,即下址为0000.

  1. LW指令执行状态周期T3~T5
    在这里插入图片描述

同理可得
在这里插入图片描述

  1. Beq指令执行状态周期T3
    在这里插入图片描述

同理可得

在这里插入图片描述

得到总的微指令
在这里插入图片描述

将微指令对应的十六进制复制到控制存储器

在这里插入图片描述

输入信号
1.指令字Opcode,Func字段(12位)
2. 时钟信号、复位信号
输出信号
1.多路选择器选择信号
2.内存访问控制信号
3.寄存器写使能信号
4. 运算器控制信号、指令译码信号
在这里插入图片描述

构建多周期MIPS CPU
在这里插入图片描述

运行程序:
在这里插入图片描述

实现冒泡排序

在这里插入图片描述

在这里插入图片描述
总电路图:
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

### 回答1: 单周期MIPS CPU是一种简化的CPU设计,它是基于MIPS架构的一种处理器设计方案。以下是一个简单的单周期MIPS CPU设计代码: ```verilog module SingleCycleMIPS ( input wire clk, // 时钟信号 input wire reset, // 复位信号 input wire [31:0] instruction, // 输入指令 output wire [31:0] dataOut // 输出数据 ); // 寄存器定义 reg [31:0] pc; // 程序计数器 reg [31:0] rs, rt, rd; // 寄存器rs, rt, rd reg [15:0] op, func; // 操作码op, 功能码func reg [31:0] aluResult; // 运算结果 reg [31:0] memDataOut; // 存储器数据输出 reg [31:0] dataOut; // 输出数据 // 控制信号定义 wire RegDst; // 寄存器目的地址控制信号 wire ALUSrc; // ALU源操作数控制信号 wire MemtoReg; // 写回寄存器数据来源控制信号 wire RegWrite; // 寄存器写控制信号 wire MemRead; // 存储器读控制信号 wire MemWrite; // 存储器写控制信号 wire Branch; // 分支指令控制信号 wire Jump; // 跳转指令控制信号 wire [1:0] ALUOp; // 运算操作码控制信号 // 数据通路及控制单元 // ... // 对数据通路及控制单元的实现 endmodule ``` 上述代码只是一个简单的框架,具体实现还需根据MIPS CPU的详细设计规范进行具体编写。在该实现中,包含了基本的寄存器定义、控制信号定义和数据通路及控制单元。具体的数据通路和控制单元实现需要根据MIPS CPU设计要求进行详细的逻辑实现。通过该设计,可以实现单周期MIPS CPU的基本功能,包括指令执行、数据读写、控制流控制等。 ### 回答2: 单周期MIPS CPU设计代码是一种基于冯·诺依曼结构的计算机处理器设计。它按照指令的执行过程划分为多个阶段,并通过控制信号和数据通路来实现指令的执行。 首先,我们需要定义基本的数据通路模块。包括指令存储器(Instruction Memory)、数据存储器(Data Memory)、寄存器(Registers)和ALU(算术逻辑单元)。指令存储器用于存储指令,数据存储器用于存储数据,寄存器用于保存中间结果,而ALU用于进行算术逻辑运算。 接下来,我们需要定义控制信号。例如,指令寄存器(IR)用于存储当前指令,控制单元解码IR的操作码以生成相应的控制信号。控制信号包括读写使能信号、ALU操作控制信号、存储器写使能信号等。 然后,我们需要定义指令执行的阶段。在单周期MIPS CPU中,常用的指令执行阶段包括取指、译码、执行、访存和写回。在取指阶段,从指令存储器中读取当前指令;在译码阶段,解码指令并提取操作数;在执行阶段,进行算术逻辑运算;在访存阶段,进行内存访问;在写回阶段,将结果写回寄存器文件。 最后,我们需要将各个模块和阶段整合在一起,通过控制信号和数据通路进行指令的执行。可以使用硬件描述语言(如Verilog)来描述单周期MIPS CPU设计,并在FPGA上进行验证。 总结来说,单周期MIPS CPU设计代码涵盖了数据通路的定义、控制信号的生成和指令执行的阶段划分。它是一种基于冯·诺依曼结构的计算机处理器设计,能够执行MIPS指令集中的指令。
评论 18
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Who_Am_I.

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值