VCS issues && solutions(2)

How to dump TB/ENV coverage?

  • Some issues are also found from ENV scoreboard/Reference model and driver when test failed. So it is very important to collect coverage
  • Dump coverage options for VCS:
    • compile option: -cm line -cm_line svtb
    • run option: -cm line
  • Operation:
    $verdi -cov -covdir simv.vdb &
    then search scoreboard from class sub-page.

How to dump UVM_COMPONENT in waveform?

  • Dump UVM_COMPONENT inner signal in waveform can increase debug speed for initial TB debugging.
  • VCS options:
    • compile option: +define+UVM_VERDI_COMPWAVE
    • run option: +UVM_VERDI_TRACE="HIER+COMPWAVE"
  • Operation:
    • Run $verdi -ssf wave.fsdb & after waveform generated.
    • click UVM -> OVM/UVM Hierarchy View, then we can choose component for waveform.
  • 4
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值