2020-10-03

移位乘法器的verilog

1.程序
module mult(a,b,dout);
input [3:0]a;
input [3:0]b;
output [7:0]dout;
reg [7:0]dout;
integer i;
always@(a or b)
begin
dout=4’b0;
for(i=0;i<4;i=i+1)
if(b[i]==1)
dout=dout+(a<<i);
end
endmodule

2.testbench
`timescale 1 us/ 1 ps
module mult_tb();
reg [3:0] a;
reg [3:0] b;
wire [7:0] dout;

// assign statements (if any)
mult i1 (
// port map - connection between master ports and signals/registers
.a(a),
.b(b),
.dout(dout)
);
initial
begin
a=4’b0000;b=4’b0000;
#10 a=4’b1100;b=4’b0010;
#10 a=4’b1010;b=4’b0100;
#10 a=4’b1010;b=4’b0110;
#10 a=4’b0101;b=4’b0110;
#10 a=4’b0010;b=4’b0010;
#10 a=4’b1100;b=4’b1010;
end
endmodule

3.仿真图
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值