自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(25)
  • 资源 (1)
  • 收藏
  • 关注

原创 【BUG记录】Xilinx复数乘法器、乘法器IP核,在modelsim仿真时,出现一个时钟内先算错,再算对的情况

【BUG记录】Xilinx复数乘法器、乘法器IP核,在modelsim仿真时,出现一个时钟内先算错,再算对的情况

2024-02-27 14:45:21 442 1

原创 Modelsim仿真中,通过编写do文件替代重复手动操作,简化仿真流程

在modelsim仿真时,总会对设计中的一个模块进行反复仿真。因此可以通过编写do文件的方式,简化上述步骤。官方说明书,应有尽有。

2023-11-16 21:42:32 314

原创 Xilinx IP核手册阅读——FIFO

如上图,复位信号拉低后,经过1clk后,写使能拉高,数据开始写入FIFO,再经过2clk,wr_count开始计数,empty信号拉低后,rd_cout信号开始计数,但是注意光标时间,wr_count和rd_count两个信号并不是和clk时钟信号对齐的。至此,Overview看了个大概,总结来说,该章中主要介绍IP核的应用场景、适配性等问题,并会给出IP核接口的详细说明,还会有简略的时序图说明接口之间的关系,力求全面总体,后面的章节一定是对ch1中提到的多有功能做出系统的详细的说明。(是否使用前者更好?

2023-07-27 19:16:08 1237

原创 Xilinx 7系列芯片选型手册的资源量怎么看

总共的块RAM资源,用Block RAM/FIFO w/ ECC (36 Kb each) * 36就是。:切片,7系列中,一个Slice里有4个6输入LUT,3个多路选择器 ,1个快速进位链,和8个触发器。剩下的资源都是封装,尺寸,厚度,IO数和对应封装所具有的(GTP收发器),也就是说,Logic Cell的数量为LUT数量的1.6倍。:速度等级,数越小,速度越高,性能越好,带L的表示低功耗。:块RAM资源的个数,每个36Kb。:最大分布式RAM资源,Kb单位。:逻辑单元,对于7系列来说,

2023-07-25 16:19:25 1674

原创 同步复位、异步复位、亚稳态、建立时间、保持时间、恢复时间、清除时间

同步、异步复位的概念是重要的基础内容,需对其有较清晰的认识,才能在复位电路的设计时得心应手,本文基于前辈分享,结合自己的理解,浅谈亚稳态、复位电路等相关内容。首先需要明确的是,。复位的目标是将寄存器、RAM等单元恢复初始状态,重点应该在于这些单元的变成初始状态,所以同步、异步实际上说的是。不要理解为:复位信号和时钟之间的关系。

2023-07-21 16:06:46 551

原创 FPGA基础笔记2——关于逻辑级数

FPGA基础笔记2——关于逻辑级数

2023-07-19 16:12:21 826

原创 FPGA基础笔记1——FPGA板载资源

FPGA基础笔记1——FPGA板载资源

2023-07-19 15:51:41 316

转载 【转载】FPGA设计中的功耗分析(xilinx)

笔者经历过一个项目,整个系统的功耗达到了100w,而单片FPGA的功耗估计得到为20w左右,有点过高了,功耗过高则会造成发热量增大,温度高最常见的问题就是系统重启,另外对FPGA内部的时序也不利,导致可靠性下降。另一个层面是具体的实现方法,设计中所有吸收功耗的信号当中,时钟是罪魁祸首。图5中的功耗是设置成“Write First”时的,图7中是设置成“NO CHANGE”后的功耗,BRAM的功耗从0.614W降到了0.599W,因为只使用了7%的BRAM,如果设计中使用了大量的BRAM,效果能更加明显。

2023-07-05 17:06:33 1794

原创 声学实验学习笔记——脉冲声技术(水池实验)

脉冲声技术声学实验学习笔记

2022-09-29 09:34:39 811 1

原创 锂电池参数的一些概念&结合一块具体电池参数表的理解

锂电池参数的一些概念&结合一块具体电池参数表的理解

2022-09-27 08:53:58 4815

原创 markdownpad2渲染组件出错——Awesomium sdk组件下载

markdownpad2渲染组件出错

2022-09-12 09:27:38 1011

转载 Matlab画三维指向性图(不懂,但是能画)

画3D指向性图

2022-06-04 13:47:15 890

原创 线阵自然指向性函数图Matlab仿真

%线阵的自然指向性仿真clc;clear;close all;% 阵数目N = 10;N1 = 5;N2 = 8;N3 = 12;N4 = 15;N5 = 20;% 工作频率f = 1000;% 声速c0 = 1500;% 波长lamda = c0/f;d = lamda/2; %布阵间距n = 10000;% xita = (-pi:2*pi/n:pi);xita = (-pi:2*pi/n:pi);fai = pi * d * sin(xita) / la

2022-05-05 11:59:05 1912 2

原创 LFM信号时频的Matlab仿真

目录理论知识编程LFM的频谱LFM的时域信号LFM的模糊度函数理论知识编程LFM的频谱先说频谱,频谱整明白了%%clc;clear;close all;%% 参数初始化A = 1; %信号幅度f0 = 2e3; %频率/HzT = 1; %脉冲宽度/sB = 1e3; %LFM信号带宽1kk=B/T; %LFM调频斜率fs = 10e3; %采样频率10kN = T*fs; %采样点数tt = linspace(-T/2,T/

2022-05-03 08:44:03 2657 1

原创 Error (10003): Can‘t open encrypted VHDL or Verilog HDL file....current license file does not...报错解决

Error (10003): Can't open encrypted VHDL or Verilog HDL file -- current license file does not contain a valid license for encrypted file

2022-02-09 07:41:20 3863

原创 FPGA-状态机

理论知识状态机,全称:同步有限状态机(FSM),同步,指的是状态跳转是在时钟的控制下进行;有限,指的是状态的个数有限。分为两类:Moore型状态机:输出时只与状态有关Mealy型状态机:输出时不止于状态有关,和输入也有关可以用状态机图来表示整个系统的结构流程,十分的好用。在程序的编写中,会有如下三种编码:独热码:最适合高速系统,但是占用的寄存器资源比较多,有多少个状态就要有多少个位宽二进制码:位宽用的少,但是不适合告诉系统,一般不用格雷码:相邻状态只有1位不一样,性能折中的码...

2022-01-19 16:05:02 253

原创 wire、reg都是什么时候用的

reg的应用场景always模块中被赋值的每一个信号都得是reg型initial语句中,得是reg型wire的应用场景input、output、inout得用wire型模块实例化时的输出得用wire型assign赋值语句指定的组合逻辑信号中得用wire型...

2022-01-14 08:45:21 555

原创 阻塞赋值与非阻塞赋值

阻塞赋值:用“=”表示,计算等号右边,期间不允许任何其他语句干扰,必须等这个赋值语句完成后,再进行下一条赋值语句。串行块(begin-end)中 ,各条阻塞赋值语句就像C语言一样按顺序执行。非阻塞赋值:用“<=”表示,在赋值开始时刻,计算所有赋值号右边的语句,赋值结束时刻,同时更新赋值号左边的语句,整个赋值期间,允许其他任何语句的操作。非阻塞赋值只能用于对寄存器变量进行赋值,因此只能用于“initial”和“always”块中,而不能用于连续赋值“assign”。相比于阻塞赋值,非阻塞赋值对应

2022-01-14 08:33:45 1454

原创 Quartus联合modelsim的ip核仿真——以FIFO为例——(报错问题解决)

tips:本文章不涉及fifo的ip核参数含义讲解,只是通一通开发流程,总结一下哪里容易报错,新手向,旨在能够正确出波形,别卡在报错中折磨。在新建工程前,新建文件夹管理文件打开quartus,新建工程,路径设置到quartus_prj目录下,工程名和顶层名均为fifo一路next到选型号,按照板卡型号进行选择。Family->package->pin count---->core speed grade->available devise->nextsimulatio

2022-01-12 11:06:44 3293 4

原创 利用bat文件批量修改文件后缀名

把所有待改文件放在同一个文件夹下该文件夹下新建记事本书写形如:rend *.flac *.mp3 (.flac->.mp3)保存,改记事本后缀名为.bat运行

2022-01-09 21:36:40 2204

原创 ADC中的SPS单位

SPS是采样率,是ADC每秒采样点的数目。注意区别采样率与转换速率。采样率:ADC每秒采样点的数目。转换速率:受两个因素的影响:AD的转换时间AD转换的位数采样速率的高低主要取决于ADC的转换时间。不同类型的转换器转换速度相差甚远。其中并行比较ADC的转换速度最高,逐次比较型ADC次之,间接ADC速度最慢。A/D转换器的分辨率(转换位数)与其转换速率是相互制约的,ADC的分辨率越高(转换位数),其转换所需要的时间就越长,转换速率也就越低。参考ADC里面采样速率的单位SPS怎么解释?

2022-01-01 22:21:53 3909

原创 C语言中#ifndef的作用

图评论截取自链接: #ifndef的用法.的评论区第一条,豁然开朗,记录下来//一般格式: #ifndef <标识> #define <标识> ...... ...... #endif //<标识>在理论上来说可以是自由命名的,//但每个头文件的这个“标识”都应该是唯一的。//标识的命名规则一般是头文件名全大写,前后加下划线,并把文件名中的“.”也变成下划线....

2021-12-08 22:00:15 572

原创 stm32单片机控制好盈电调带动T80电机转动

stm32rct6控制好盈电调,带动无刷电机

2021-12-06 22:15:49 5387 20

原创 bat文件建文件夹时乱码及失败问题

乱码问题:.txt文件保存时不要直接ctrl+s,要用“另存为”,把UTF8改成ANSI。失败问题:md与文件名之间要有空格,excel批量处理时,公式是:=“md ”&A2 (注意d后面的空格)

2021-06-23 21:38:35 4499 1

原创 Not enough information to list image symbols. Not enough information to list load addresses in ...

除了绝大部分网上给的解决方法外:Not enough information to list image symbols. Not enough information to list load addresses in …我在向正点原子例程“输入捕获”中添加lcd驱动程序时,发现按照上述链接的做法填了路径什么的,还是报错,最后发现是这个.c文件文件没有添加进来导致这两句话一直是无定义,填进来就好了。...

2021-06-03 01:07:48 26940

UG474 7-series-product-selection-guide

包含7系列选型手册,和7 Series FPGAs Configurable Logic Block User Guide (UG474),介绍资源的手册,均来自官网,可在官网下载

2023-07-25

声呐技术-CW脉冲信号仿真

包括时域、频域和模糊度图

2023-07-14

BLHeliSuite32-32812

电调修参软件

2023-04-01

STM32F103RC_HAL库_ADC_DMA采集

STM32F103RC_HAL库_ADC_DMA采集,仅配置了ADC1的IN14通道做为示例

2022-07-10

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除