FPGA-状态机

理论知识

状态机,全称:同步有限状态机(FSM),同步,指的是状态跳转是在时钟的控制下进行;有限,指的是状态的个数有限。

分为两类:
Moore型状态机:输出时只与状态有关
Mealy型状态机:输出时不止于状态有关,和输入也有关

可以用状态机图来表示整个系统的结构流程,十分的好用。

在程序的编写中,会有如下三种编码:

独热码:最适合高速系统,但是占用的寄存器资源比较多,有多少个状态就要有多少个位宽

二进制码:位宽用的少,但是不适合告诉系统,一般不用

格雷码:相邻状态只有1位不一样,性能折中的码

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值