自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(20)
  • 收藏
  • 关注

原创 基于51单片机的密码锁 LCD12864显示 proteus仿真程序

硬件设计大体概要如下:A. 该设计为基于51单片机的密码锁;B. 由LCD12864作为显示,220V交流供电,矩阵键盘作为密码输入按键,LED指示;C. 多次输入出错会LED提示。本次硬件设计中加入了220V交流转换电路,通过整流转换电路变为直流,之后通过7805稳压器变为5V供给系统使用。整体仿真图如下:开机几秒显示欢迎界面,之后进入下图所示的输入密码界面。初始密码为012345,当输入正确后,LED会常亮,同时LCD界面提示是选择开锁还是修改密码。假设三次输入错误之后会声光报警。程

2022-01-04 16:31:10 2506 1

原创 基于51单片机的密码锁柜proteus仿真程序设计数码管显示

硬件设计:同样采用的是51 单片机,加矩阵键盘,同样具有声光报警器。输入正确之后,LED会短暂亮起,同时继电器开启,用于模拟锁的开关。原理图和PCB如下:程序设计/*******************************************************************************初始密码:000000 密码位数:6位注意:掉电后,所设密码会丢失,重新上电时,密码恢复为原始的000000与P1.0相连的8位发光LED点亮代表锁被打开;熄灭代表锁被

2022-01-04 16:29:47 2203

原创 基于8086的密码锁汇编语言proteus仿真程序设计数码管显示

硬件设计:因为采用的是8086作为核心处理器,所以整体电路和之前的都大不一样,仿真图如下图所示:程序设计以下是基于汇编语言的程序设计,IOY0 EQU 0200H ;8255片选端口始地址MY8255_A EQU IOY0+00H*2 ;8255的A口地址MY8255_B EQU IOY0+01H*2 ;8255的B口地址MY8255_C EQU IOY0+02H*2 ;8255的C口地

2022-01-04 16:28:29 4265

原创 基于51单片机的温湿度光强二氧化碳气体家庭环境检测proteus仿真

硬件设计仿真图1:该设计是基于51单片机的温湿度光强二氧化碳检测系统,由LCD1602作为显示器,温湿度采用的SHT11传感器,ADC采用的TLC2543,光强就是光敏传感器,气体检测这里采用的是电位器模拟的。该设计仅做了采集和显示,没有阈值设置功能。仿真图2:该设计为基于51单片机的温度检测系统,由LCD1602作为显示器,温度采用DS18B20,通过4个按键设置阈值,超出阈值会LED报警;该设计为温度专用的检测系统。仿真图3:该设计为基于51单片机的温湿度检测系统,由LCD1602作为显

2022-01-04 16:25:36 3039

原创 基于51单片机的智能窗帘proteus仿真数码管显示

硬件设计该硬件设计是基于51单片机为MCU,ADC采用ADC0804,电机驱动芯片是L298,显示部分采用的是4位数码管。ADC0804芯片的简介:工作电压:+5V,即VCC=+5V。模拟转换电压范围:0~+5V,即0≤Vin≤+5V。分辨率:8位,即分辨率为1/28=1/256,转换值介于0~255之间。转换时间:100us(fCK=640KHz时)。转换误差:±1LSB。参考电压:2.5V,即Vref/2=2.5V。L298N简介:类型 : 全桥输入类型 : 非反相输出数

2022-01-04 16:11:11 3271 1

原创 基于51单片机的模拟指针时钟proteus仿真LCD12864显示器

硬件设计仿真图如下:显示采用的是LCD12864,驱动芯片采用了74H53和62256,但这里没有设计按键,因此时间的设置只能通过程序里边更改,同学们也可以自行发挥,同时也可以设计上DS1302,可支持实时时间。程序设计#include <reg52.h>#include<intrins.h>#include<math.h>#ifndef BIT#define BIT(x) (1 << (x))#endif#define DBPor

2022-01-04 16:09:01 4084 1

原创 基于51单片机的电子时钟万年历带农历温度proteus仿真

硬件设计本设计由数据显示模块、温度采集模块、时间处理模块和调整设置模块四个模块组成。系统以AT89S52单片机为控制器,以串行时钟日历芯片DS1302记录日历和时间,它可以对年、月、日、时、分、秒进行计时,还具有闰年补偿等多种功能。温度采集选用DS18B20芯片,万年历采用直观的数字显示,数据显示采用1602A液晶显示模块,可以在LCD上同时显示年、月、日、星期、时、分、秒,还具有时间校准等功能。此万年历具有读取方便、显示直观、功能多样、电路简洁。硬件框图:(1)用4个按键实现所有功能,计时准确。

2022-01-04 16:07:04 7461

原创 基于FPGA的小数分频设计VHDL开发

部分参考代码(末尾附文件)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fdn is port ( clock_in : in std_logic; enable : in std_logic; n_of_fd : in std_logic_vector(3 downto 0); clock_out : out std_lo

2021-06-01 11:10:50 303 1

原创 基于FPGA的8B10B编解码程序设计Verilog 开发

部分参考代码(末尾附文件)module code8_10(input clk,input rst_n,input [7:0] i_data,input i_is_k,output reg [9:0] o_data );reg dp_out;always@(posedge clk,negedge rst_n)beginif(~rst_n) {dp_out, o_data} <= 0;else {dp_out, o_data} <= dp_data_10

2021-06-01 11:07:53 1310 1

原创 基于FPGA的数字跑表设计VHDL开发包含仿真

部分参考代码(末尾附文件)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity JSQ is port( clk: in std_logic; reset_n: in std_logic; start: in std_logic; save: in std_logic; s

2021-06-01 09:54:35 918 1

原创 基于FPGA的HDB3编解码程序VHDL开发包含仿真

部分参考代码(末尾附文件)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity HDB3_Code_AddB isport(clk,clr:in std_logic; codeoutv:in std_logic_vector(1 downto 0); codeoutb:out std_logic_vector(

2021-06-01 09:51:11 852 1

原创 基于FPGA的汽车尾灯显示控制设计VHDL开发

部分参考代码(末尾附文件)分频模块的代码如下所示:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity SZ is port( CLK :in std_logic; CP :out std_logic );end SZ;ARCHITECTURE ART OF SZ IS SIGNAL COUNT:ST.

2021-05-31 19:40:48 1775 1

原创 基于FPGA的音乐播放器Verilog开发

部分参考代码(末尾附文件)module DianZiQin( input clk, input reset_n, input play_set, input change_set, input stop_set, input [3:0] key_in_y, output [3:0] key_out_x, output alarm, output [5:0] sm_cs, output [7:0] sm_db);reg [11:0] clk_100us_cnt;

2021-05-31 19:37:36 6187 1

原创 基于STM32F103单片机智能风扇 手机蓝牙无线控制系统

系统功能设计(末尾附文件)本系统由STM32F103C8T6单片机核心板、步进电机控制、LCD1602液晶显示、按键、风扇控制、蓝牙模块及电源组成。1、通过PWM驱动控制5V风扇风速情况。2、通过步进电机控制风扇摇头是否启动。3、可以设置是否进行风扇定时。4、通过4路按键分别风扇的启动/停止、摇头启动/停止、风扇速度3档选择、定时时间选择功能。5、液晶实时显示风扇的启动/停止、摇头启动/停止、风扇速度3档情况、定时时间情况。6、定时结束后风扇转动以及摇头都会停止,和实际使用一致,和按停止按键

2021-04-28 17:58:07 4261 1

原创 基于STM32F103单片机市电抄表插座 交流电压电流键测量系统

系统功能设计(末尾附文件)本系统由STM32F103C8T6单片机核心板、交流电压电流检测模块、LCD1602液晶显示组成。1、220V市电经过互感器后产生微弱交流电,经过整流滤波后变为直流,然后通过单片机AD转换,然后换算出实际值。电压电流采集分别使用电压互感器TV1005M和电流互感器TA1005M。2、LCD1602液晶实时显示交流电压、交流电流、功率和电量,电压单位V、电流单位A、功率单位W、电量单位KwH(即度)。功率=电压电流,电量是功率时间。功能底板原理图:核心板:基于STM3

2021-04-28 17:54:58 3092 1

原创 基于STM32F103单片机的智能温室大棚RS485通信温湿度监测

系统功能设计(末尾附文件)STM32单片机智能大棚485上传温湿度光照检测补光本系统由STM32单片机RS485采集板和STM32单片机RS485显示按键板组成。采集板由STM32F103C8T6单片机、RS485通信模块、光照采集、温湿度传感器检测、继电器控制、LED控制组成。显示板由STM32F103C8T6单片机、RS485通信模块、按键、lcd1602液晶显示组成。1、采集板采集所处环境的温度、湿度、光照(0-100),并通过RS485通信实时将数据发送到显示板。2、采集板接收显示板发

2021-04-28 16:40:25 6041 1

原创 基于STM32F103单片机的智能药盒喂食器智能插座系统

硬件电路的设计(末尾附文件)(一)系统功能设计STM32单片机智能蓝牙APP定时开关插座喂食器药盒50本系统由STM32F103C8T6单片机核心板、蓝牙模块、蜂鸣器驱动、LCD1602液晶显示、继电器及电源组成。1、通过手机蓝牙app可以设置继电器打开的时间段。2、通过STM32内部RTC实时时钟获取时间信息,将年月日时分秒周几时间信息实时上传到手机蓝牙APP。3、通过手机蓝牙app可以校时。4、例如当天设置时间段为:蓝牙更新数据命令:08:20-11:50,当前时间在09:10时继电器

2021-04-28 16:34:27 1697 1

原创 基于51单片机的智能孵化器恒温箱 原理图PCB程序设计

硬件设计(末尾附文件)方案设计:系统功能分析本设计由STC89C52单片机电路+数字温度传感器DS18B20电路+按键电路+蜂鸣器报警电路+继电器控制电路+LCD1602液晶显示电路+电源电路组成。1、LCD1602液晶实时显示当前温度值和设置的温度上下限报警值,温度上下限可以通过按键设置,超出之后会通过继电器自动调节回设置温度(继电器需要外接加热器和散热器)。2、如果温度超过上限,温度过高打开制冷继电器,如果温度低于下限,温度过低打开制热继电器。2、如果1分钟内,没有温度调节到上下限范围内,

2021-02-16 11:54:51 5064

原创 AD5444原理图PCB电路设计,使用经验和建议

<每日模块经验分享>原理图如下:PCB如下:芯片特点乘法带宽:12 MHz积分非线性(INL):± 0.5 LSB(12位)引脚兼容的12/14-Bit电流输出DAC2.5 V至5.5 V电源供电10引脚MSOP封装±10 V基准电压输入50 MHz串行接口更新速率:2.7 MSPS扩展的温度范围:−40°C至+125°C四象限乘法上电复位,具有掉电检测功...

2020-02-19 08:32:27 926

原创 SO、SOP、SOIC、MSOP、TSSOP、TSOP、VSSOP、SSOP、SOJ封装详解

1. 简要信息如下:2. SOP和SOIC的规格多是类似的,现在大多数厂商基本都采用的是SOIC的描述:SOIC8有窄体150mil的(外形封装宽度,不含管脚,下同),管脚间距是1.27mm,如下:有宽体的208mil的,管脚间距是1.27mm,如下:上面两种规格主要是针对8P的,常用的14P和16P主要是150mil规格的窄体,管脚间距是1.27mm,如下:18~30P主要是...

2020-02-19 08:30:25 32522 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除