格雷码转换电路

        格雷码是一种二进制循环码。格雷码的特点是从一个数变为相邻的一个数时,只有一个数据位发生跳变,由于这种特点,就可以避免二进制编码计数组合电路中出现的亚稳态。格雷码常用于通信、异步FIFO或者RAM地址寻址计数器中。

(1) 格雷码转换为二进制码原理如下:

n位的二进制:Bn,Bn-1,Bn-2。。。B2,B1,B0;

n位的格雷码:Gn,Gn-1,Gn-2。。。G2,G1,G0;

转换公式:Bn=Gn;

                    Bi-1=Bi^Gi-1;(i=0,1,2,n-1;)

代码如下:

`timescale 1ns / 1ps

module geleima(
 gray_in,
 bin_out
    );
 parameter WIDTH=4;
 
 input   [WIDTH-1:0]  gray_in;
 output reg [WIDTH-1:0] bin_out;
 
 always@(*)begin
   bin_out[3]=gray_in[3];
   bin_out[2]=gray_in[2]^bin_out[3];
   bin_out[1]=gray_in[1]^bin_out[2];
   bin_out[0]=gray_in[0]^bin_out[1];
   end
endmodule

 (2) 二进制转换为格雷码原理如下:

n位的二进制:Bn,Bn-1,Bn-2。。。B2,B1,B0;

n位的格雷码:Gn,Gn-1,Gn-2。。。G2,G1,G0;

转换公式:Gn=Bn;

                    Gi-1=Bi^Bi-1;(i=0,1,2,n-1;)

代码如下:

`timescale 1ns / 1ps

module geleima(
 gray_out,
 bin_in
    );
 parameter WIDTH=4;
 
 input   [WIDTH-1:0]  bin_in;
 output  [WIDTH-1:0] gray_out;
 
assign gray_out=(bin_in>>1)^bin_in;
   
endmodule

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

交芯

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值