FPGA实验】基于DE2-115平台的VGA显示

一、VGA介绍

VGA(Video Graphics Array)视频图形阵列是IBM于1987年提出的一个使用模拟信号的电脑显示标准。VGA接口即电脑采用VGA标准输出数据的专用接口。VGA接口共有15针,分成3排,每排5个孔,显卡上应用最为广泛的接口类型,绝大多数显卡都带有此种接口。它传输红、绿、蓝模拟信号以及同步信号(水平和垂直信号)。

VGA接口是一种D型接口,上面共有15针孔,分成三排,每排五个。 其中,除了2根NC(Not Connect)信号、3根显示数据总线和5个GND信号,比较重要的是3根RGB彩色分量信号和2根扫描同步信号HSYNC和VSYNC针。VGA接口中彩色分量采用RS343电平标准。RS343电平标准的峰值电压为1V。VGA接口是显卡上应用最为广泛的接口类型,多数的显卡都带有此种接口。有些不带VGA接口而带有DVI(Digital Visual Interface数字视频接口)接口的显卡,也可以通过一个简单的转接头将DVI接口转成VGA接口,通常没有VGA接口的显卡会附赠这样的转接头。
管脚定义:
在这里插入图片描述
在这里插入图片描述
VGA显示原理:
VGA通过引脚的模拟电压(0V-0.714V)显示红绿蓝三种颜色,不同的电压值对应不同的颜色。
VGA驱动显示器用的是扫描的方式,一般是逐行扫描。
逐行扫描是扫描从屏幕左上角一点开始,从左像右逐点扫描,每扫描完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行同步;
当扫描完所有的行,形成一帧后,用场同步信号进行场同步,并使扫描回到屏幕左上方,同时进行场消隐,开始下一帧。
在这里插入图片描述
FPGA芯片驱动VGA显示,需要先产生模拟信号,这就要借助数模转换器D/A,利用D/A产生模拟信号,输出至VGA的RED、GREEN、BLUE基色数据线。另一种方法是利用电阻网络分流模拟D/A实现的。
具体颜色对应的电压值:
在这里插入图片描述
VGA时序解析:
在这里插入图片描述

二.VGA显示字符

该部分使用了EP4CE115F29C7
这里使用到了汉子点阵,之前学习过OLED的汉字显示,这里会用到同样的汉字点阵提取
参考链接https://zhuanlan.zhihu.com/p/165905962

    char_line00=302'h0000000000000000000000000000000000000000000000000000000003C0000FFCFFFFFC,  //第2行
    char_line01=302'h0000000000000000000000000000000000000000000000000000000003C0000FFCFFFFFC,  //第3行
    char_line02=302'h000000000000000000000000000000000000000000000000000000FFFFC3FF00FC003F00,  //第4行
    char_line03=302'h000000000000000000000000000000000000000000000000000000FFFFC3FF00FC003F00,  //第5行
    char_line04=302'h000000000000000000000000000000000000000000000000000000FFFFC3CF0FFFFFFFFF,  //第6行
    char_line05=302'h000000000000000000000000000000000000000000000000000000FFFFC3CF0FFFFFFFFF,  //第7行
    char_line06=302'hFFC03FF0003C03FFC3FFCFFFFFCFFC03FFC3FFC03FF00FFC003FC0FFFC3FF00FFC3FF00,
	 char_line07=302'hFFC03FF0003C03FFC3FFCFFFFFCFFC03FFC3FFC03FF00FFC003FC0FFFC3FF00FFC3FF00,
	 char_line08=302'hFFCFFF0FCFFC3C0FC3FFFFFF0FCFFC03FFC3FFC3FF0FFFCFF3FFC00FFC3FC0FFCFFFFFC,
	 char_line09=302'hFFCFFF0FCFFC3C0FC3FFFFFF0FCFFC03FFC3FFC3FF0FFFCFF3FFC00FFC3FC0FFCFFFFFC,
	 char_line0a=302'hFFC0FFFCFC03C3FF0FFFC3FC0F0FFCFFFF00FFC3FFFCFFFCFF00FCFFFFFFFC00FCFFC0FF,
	 char_line0b=302'hFFC0FFFCFC03C3FF0FFFC3FC0F0FFCFFFF00FFC3FFFCFFFCFF00FCFFFFFFFC00FCFFC0FF,
	 char_line0c=302'hFFC03F30FCFFC3FF0FFFC3C00F0FFCFFFF00FFC3C0F0FC3CFF3FFCFFC3C0000FFFFFFFFF,
	 char_line0d=302'hFFC03F30FCFFC3FF0FFFC3C00F0FFCFFFF00FFC3C0F0FC3CFF3FFCFFC3C0000FFFFFFFFF,
	 char_line0e=302'hFFFC000F30FFC3FF0FFFC3C3FF0FFCFFFFFCFFC3C00F3003CC3FFCF0FFFFFFFF0000000F,
	 char_line0f=302'hFFFC000F30FFC3FF0FFFC3C3FF0FFCFFFFFCFFC3C00F3003CC3FFCF0FFFFFFFF0000000F,
	 char_line10=302'hFFC3C3FF00FFC3C0FFFFC3C3FF0FFCFFFF0FFFC3C3FF00FFC03FFCF303FF0000FFFFFF00,
	 char_line11=302'hFFC3C3FF00FFC3C0FFFFC3C3FF0FFCFFFF0FFFC3C3FF00FFC03FFCF303FF0000FFFFFF00,
	 char_line12=302'hF3C3C00F00FFC03FFFFFC3C3FF0FFCFFCF0FFFC3C00F0003C03FFCFFFFC3FC00FC003FFC,
	 char_line13=302'hF3C3C00F00FFC03FFFFFC3C3FF0FFCFFCF0FFFC3C00F0003C03FFCFFFFC3FC00FC003FFC,
	 char_line14=302'hFFC3C000FCFFC3FF0FFFC3C3FF0FFCFFFF0FFFC3C000FC00FF3FFCFFFFC3FC00FCFF3FFC,
	 char_line15=302'hFFC3C000FCFFC3FF0FFFC3C3FF0FFCFFFF0FFFC3C000FC00FF3FFCFFFFC3FC00FCFF3FFC,
	 char_line16=302'hFFC3FFFCFCFFC0000FFFC3C3FF0FFCFFFF0FFFC3FFFCFFFCFF3FFC3FFFC3FF00FCFF3FFC,
	 char_line17=302'hFFC3FFFCFCFFC0000FFFC3C3FF0FFCFFFF0FFFC3FFFCFFFCFF3FFC3FFFC3FF00FCFF3FFC,
	char_line18=302'h3FC3FFF0FC03C03FFC3FFC03FF00FFC03F0F3FFC3FF0FFFCFF00FC3FFFC3CF00FCFC3FFC,
	char_line19=302'h3FC3FFF0FC03C03FFC3FFC03FF00FFC03F0F3FFC3FF0FFFCFF00FC3FFFC3CF00FCFC3FFC,
	char_line1a=302'h3FFC03FF00FFFF3FFC3FFC03FF00FFC03FFC3FFC03FF00FFC03FFF0FFFFFCF00003FFF00,
	char_line1b=302'h3FFC03FF00FFFF3FFC3FFC03FF00FFC03FFC3FFC03FF00FFC03FFF0FFFFFCF00003FFF00,
	char_line1c=302'h3FFCF3FF3CFFFC3FFC3FFC03FF00FFC03FFF3FFC3FFF3CFFCC3FF0FFC3FF00FCFFCC3FFC,
	char_line1d=302'h3FFCF3FF3CFFFC3FFC3FFC03FF00FFC03FFF3FFC3FFF3CFFCC3FF0FFC3FF00FCFFCC3FFC,
	char_line1e=302'h0000000000000000000000000000000000000000000000000000003FC3C0000FFFC000FC,
	char_line1f=302'h0000000000000000000000000000000000000000000000000000003FC3C0000FFFC000FC;

代码实现:

module VGA_test(
OSC_50,     //原CLK2_50时钟信号
VGA_CLK,    //VGA自时钟
VGA_HS,     //行同步信号
VGA_VS,     //场同步信号
VGA_BLANK,  //复合空白信号控制信号  当BLANK为低电平时模拟视频输出消隐电平,此时从R9~R0,G9~G0,B9~B0输入的所有数据被忽略
VGA_SYNC,   //符合同步控制信号      行时序和场时序都要产生同步脉冲
VGA_R,      //VGA绿色
VGA_B,      //VGA蓝色
VGA_G);     //VGA绿色
 input OSC_50;     //外部时钟信号CLK2_50
 output VGA_CLK,VGA_HS,VGA_VS,VGA_BLANK,VGA_SYNC;
 output [7:0] VGA_R,VGA_B,VGA_G;
 parameter H_FRONT = 16;     //行同步前沿信号周期长
 parameter H_SYNC = 96;      //行同步信号周期长
 parameter H_BACK = 48;      //行同步后沿信号周期长
 parameter H_ACT = 640;      //行显示周期长
 parameter H_BLANK = H_FRONT+H_SYNC+H_BACK;        //行空白信号总周期长
 parameter H_TOTAL = H_FRONT+H_SYNC+H_BACK+H_ACT;  //行总周期长耗时
 parameter V_FRONT = 11;     //场同步前沿信号周期长
 parameter V_SYNC = 2;       //场同步信号周期长
 parameter V_BACK = 31;      //场同步后沿信号周期长
 parameter V_ACT = 480;      //场显示周期长
 parameter V_BLANK = V_FRONT+V_SYNC+V_BACK;        //场空白信号总周期长
 parameter V_TOTAL = V_FRONT+V_SYNC+V_BACK+V_ACT;  //场总周期长耗时
 reg [10:0] H_Cont;        //行周期计数器
 reg [10:0] V_Cont;        //场周期计数器
 wire [7:0] VGA_R;         //VGA红色控制线
 wire [7:0] VGA_G;         //VGA绿色控制线
 wire [7:0] VGA_B;         //VGA蓝色控制线
 reg VGA_HS;
 reg VGA_VS;
 reg [10:0] X;             //当前行第几个像素点
 reg [10:0] Y;             //当前场第几行
 reg CLK_25;
 always@(posedge OSC_50)
    begin 
      CLK_25=~CLK_25;         //时钟
    end 
    assign VGA_SYNC = 1'b0;   //同步信号低电平
    assign VGA_BLANK = ~((H_Cont<H_BLANK)||(V_Cont<V_BLANK));  //当行计数器小于行空白总长或场计数器小于场空白总长时,空白信号低电平
    assign VGA_CLK = ~CLK_to_DAC;  //VGA时钟等于CLK_25取反
    assign CLK_to_DAC = CLK_25;
 always@(posedge CLK_to_DAC)
    begin
        if(H_Cont<H_TOTAL)           //如果行计数器小于行总时长
            H_Cont<=H_Cont+1'b1;      //行计数器+1
        else H_Cont<=0;              //否则行计数器清零
        if(H_Cont==H_FRONT-1)        //如果行计数器等于行前沿空白时间-1
            VGA_HS<=1'b0;             //行同步信号置0
        if(H_Cont==H_FRONT+H_SYNC-1) //如果行计数器等于行前沿+行同步-1
            VGA_HS<=1'b1;             //行同步信号置1
        if(H_Cont>=H_BLANK)          //如果行计数器大于等于行空白总时长
            X<=H_Cont-H_BLANK;        //X等于行计数器-行空白总时长   (X为当前行第几个像素点)
        else X<=0;                   //否则X为0
    end
 always@(posedge VGA_HS)
    begin
        if(V_Cont<V_TOTAL)           //如果场计数器小于行总时长
            V_Cont<=V_Cont+1'b1;      //场计数器+1
        else V_Cont<=0;              //否则场计数器清零
        if(V_Cont==V_FRONT-1)       //如果场计数器等于场前沿空白时间-1
            VGA_VS<=1'b0;             //场同步信号置0
        if(V_Cont==V_FRONT+V_SYNC-1) //如果场计数器等于行前沿+场同步-1
            VGA_VS<=1'b1;             //场同步信号置1
        if(V_Cont>=V_BLANK)          //如果场计数器大于等于场空白总时长
            Y<=V_Cont-V_BLANK;        //Y等于场计数器-场空白总时长    (Y为当前场第几行)  
        else Y<=0;                   //否则Y为0
    end
    reg valid_yr;
 always@(posedge CLK_to_DAC)
    if(V_Cont == 10'd32)         //场计数器=32时
        valid_yr<=1'b1;           //行输入激活
    else if(V_Cont==10'd512)     //场计数器=512时
        valid_yr<=1'b0;           //行输入冻结
    wire valid_y=valid_yr;       //连线   
    reg valid_r;            
 always@(posedge CLK_to_DAC)   
    if((H_Cont == 10'd32)&&valid_y)     //行计数器=32时
        valid_r<=1'b1;                   //像素输入激活
    else if((H_Cont==10'd512)&&valid_y) //行计数器=512时 
        valid_r<=1'b0;                   //像素输入冻结
    wire valid = valid_r;               //连线
    wire[10:0] x_dis;     //像素显示控制信号
    wire[10:0] y_dis;     //行显示控制信号
    assign x_dis=X;       //连线X
    assign y_dis=Y;       //连线Y
        parameter  //点阵字模:每一行char_lineXX是显示的一行,共272列
		  
		   
      
    char_line00=302'h0000000000000000000000000000000000000000000000000000000003C0000FFCFFFFFC,  //第2行
    char_line01=302'h0000000000000000000000000000000000000000000000000000000003C0000FFCFFFFFC,  //第3行
    char_line02=302'h000000000000000000000000000000000000000000000000000000FFFFC3FF00FC003F00,  //第4行
    char_line03=302'h000000000000000000000000000000000000000000000000000000FFFFC3FF00FC003F00,  //第5行
    char_line04=302'h000000000000000000000000000000000000000000000000000000FFFFC3CF0FFFFFFFFF,  //第6行
    char_line05=302'h000000000000000000000000000000000000000000000000000000FFFFC3CF0FFFFFFFFF,  //第7行
    char_line06=302'hFFC03FF0003C03FFC3FFCFFFFFCFFC03FFC3FFC03FF00FFC003FC0FFFC3FF00FFC3FF00,
	 char_line07=302'hFFC03FF0003C03FFC3FFCFFFFFCFFC03FFC3FFC03FF00FFC003FC0FFFC3FF00FFC3FF00,
	 char_line08=302'hFFCFFF0FCFFC3C0FC3FFFFFF0FCFFC03FFC3FFC3FF0FFFCFF3FFC00FFC3FC0FFCFFFFFC,
	 char_line09=302'hFFCFFF0FCFFC3C0FC3FFFFFF0FCFFC03FFC3FFC3FF0FFFCFF3FFC00FFC3FC0FFCFFFFFC,
	 char_line0a=302'hFFC0FFFCFC03C3FF0FFFC3FC0F0FFCFFFF00FFC3FFFCFFFCFF00FCFFFFFFFC00FCFFC0FF,
	 char_line0b=302'hFFC0FFFCFC03C3FF0FFFC3FC0F0FFCFFFF00FFC3FFFCFFFCFF00FCFFFFFFFC00FCFFC0FF,
	 char_line0c=302'hFFC03F30FCFFC3FF0FFFC3C00F0FFCFFFF00FFC3C0F0FC3CFF3FFCFFC3C0000FFFFFFFFF,
	 char_line0d=302'hFFC03F30FCFFC3FF0FFFC3C00F0FFCFFFF00FFC3C0F0FC3CFF3FFCFFC3C0000FFFFFFFFF,
	 char_line0e=302'hFFFC000F30FFC3FF0FFFC3C3FF0FFCFFFFFCFFC3C00F3003CC3FFCF0FFFFFFFF0000000F,
	 char_line0f=302'hFFFC000F30FFC3FF0FFFC3C3FF0FFCFFFFFCFFC3C00F3003CC3FFCF0FFFFFFFF0000000F,
	 char_line10=302'hFFC3C3FF00FFC3C0FFFFC3C3FF0FFCFFFF0FFFC3C3FF00FFC03FFCF303FF0000FFFFFF00,
	 char_line11=302'hFFC3C3FF00FFC3C0FFFFC3C3FF0FFCFFFF0FFFC3C3FF00FFC03FFCF303FF0000FFFFFF00,
	 char_line12=302'hF3C3C00F00FFC03FFFFFC3C3FF0FFCFFCF0FFFC3C00F0003C03FFCFFFFC3FC00FC003FFC,
	 char_line13=302'hF3C3C00F00FFC03FFFFFC3C3FF0FFCFFCF0FFFC3C00F0003C03FFCFFFFC3FC00FC003FFC,
	 char_line14=302'hFFC3C000FCFFC3FF0FFFC3C3FF0FFCFFFF0FFFC3C000FC00FF3FFCFFFFC3FC00FCFF3FFC,
	 char_line15=302'hFFC3C000FCFFC3FF0FFFC3C3FF0FFCFFFF0FFFC3C000FC00FF3FFCFFFFC3FC00FCFF3FFC,
	 char_line16=302'hFFC3FFFCFCFFC0000FFFC3C3FF0FFCFFFF0FFFC3FFFCFFFCFF3FFC3FFFC3FF00FCFF3FFC,
	 char_line17=302'hFFC3FFFCFCFFC0000FFFC3C3FF0FFCFFFF0FFFC3FFFCFFFCFF3FFC3FFFC3FF00FCFF3FFC,
	char_line18=302'h3FC3FFF0FC03C03FFC3FFC03FF00FFC03F0F3FFC3FF0FFFCFF00FC3FFFC3CF00FCFC3FFC,
	char_line19=302'h3FC3FFF0FC03C03FFC3FFC03FF00FFC03F0F3FFC3FF0FFFCFF00FC3FFFC3CF00FCFC3FFC,
	char_line1a=302'h3FFC03FF00FFFF3FFC3FFC03FF00FFC03FFC3FFC03FF00FFC03FFF0FFFFFCF00003FFF00,
	char_line1b=302'h3FFC03FF00FFFF3FFC3FFC03FF00FFC03FFC3FFC03FF00FFC03FFF0FFFFFCF00003FFF00,
	char_line1c=302'h3FFCF3FF3CFFFC3FFC3FFC03FF00FFC03FFF3FFC3FFF3CFFCC3FF0FFC3FF00FCFFCC3FFC,
	char_line1d=302'h3FFCF3FF3CFFFC3FFC3FFC03FF00FFC03FFF3FFC3FFF3CFFCC3FF0FFC3FF00FCFFCC3FFC,
	char_line1e=302'h0000000000000000000000000000000000000000000000000000003FC3C0000FFFC000FC,
	char_line1f=302'h0000000000000000000000000000000000000000000000000000003FC3C0000FFFC000FC;
	 
	 
	 reg[8:0] char_bit;
    always@(posedge CLK_to_DAC)
        if(X==10'd144)char_bit<=9'd302;   //当显示到144像素时准备开始输出图像数据
        else if(X>10'd144&&X<10'd446)     //左边距屏幕144像素到416像素时    416=144+272(图像宽度)
            char_bit<=char_bit-1'b1;       //倒着输出图像信息 
        reg[29:0] vga_rgb;                //定义颜色缓存
    always@(posedge CLK_to_DAC) 
        if(X>10'd144&&X<10'd446)    //X控制图像的横向显示边界:左边距屏幕左边144像素  右边界距屏幕左边界416像素
            begin case(Y)            //Y控制图像的纵向显示边界:从距离屏幕顶部160像素开始显示第一行数据
                10'd160:
                if(char_line00[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;  //如果该行有数据 则颜色为红色
                else vga_rgb<=30'b0000000000_0000000000_0000000000;                      //否则为黑色
                10'd162:
                if(char_line01[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd163:
                if(char_line02[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd164:
                if(char_line03[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd165:
                if(char_line04[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000; 
                10'd166:
                if(char_line05[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd167:
                if(char_line06[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000; 
                10'd168:
                if(char_line07[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd169:
                if(char_line08[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000; 
                10'd170:
                if(char_line09[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd171:
                if(char_line0a[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd172:
                if(char_line0b[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd173:
                if(char_line0c[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd174:
                if(char_line0d[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd175:
                if(char_line0e[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd176:
                if(char_line0f[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd177:
                if(char_line10[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd178:
                if(char_line11[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd179:
                if(char_line12[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd180:
                if(char_line13[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd181:
                if(char_line14[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd182:
                if(char_line15[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd183:
                if(char_line16[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd184:
                if(char_line17[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd185:
                if(char_line18[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd186:
                if(char_line19[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd187:
                if(char_line1a[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd188:
                if(char_line1b[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd189:
                if(char_line1c[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd190:
                if(char_line1d[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd191:
                if(char_line1e[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd192:
                if(char_line1f[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                default:vga_rgb<=30'h0000000000;   //默认颜色黑色
            endcase 
        end
    else vga_rgb<=30'h000000000;             //否则黑色
    assign VGA_R=vga_rgb[23:16];
    assign VGA_G=vga_rgb[15:8];
    assign VGA_B=vga_rgb[7:0];
endmodule

效果如下:

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
根据引用内容,mkdir /sys/fs/cgroup/memory/kubepods/burstable/pod4f2b309a-bfe5-4c40-a152-9e9ffc79a526 报错 "no space left on device" 的原因有多种可能性。以下是一些可能导致该错误的原因和对应的解决方法: 1. 空间不足: 检查服务器的磁盘空间是否充足。可以使用 df -h 命令查看磁盘使用情况。如果空间不足,可以清理一些不需要的文件或者增加磁盘空间。 2. cgroup个数限制: 检查系统中的cgroup个数是否达到上限。可以使用 cat /proc/cgroups | grep memory 命令查看cgroup的个数。如果达到上限,可以尝试增加cgroup的个数限制。 3. SELinux问题: 如果服务器上开启了SELinux,它可能会限制docker的操作。可以使用 getenforce 命令检查SELinux的状态。如果开启了SELinux,可以尝试关闭它或者重新激活磁盘。 请根据具体情况逐一检查并解决可能导致 "no space left on device" 错误的问题。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [k8s: 创建pod时报错:mkdir /sys/fs/cgroup/cpuset/kubepods.slice/kubepods-besteffort.slice](https://blog.csdn.net/amadeus_liu2/article/details/125637670)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [Docker error : "/sys/fs/cgroup/memory/xxxx" "no space left on device](https://blog.csdn.net/Tech_Salon/article/details/79543419)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值