UVM学习

关于virtual interface的使用的问题

纯SV的验证平台
class driver;
  virtual counter_if vif;//生成一个virtual interface句柄
  function new(input virtual counter_if vif);//该new函数用来使虚接口句柄指向interface
    this.vif=vif
  endfunction
endclass

module tb_top;  
  counter_if dutif(clk);//实例化interface
  driver my_driver;//声明句柄 
  initial begin
    my_driver=new(dutif)//实例化驱动,使虚接口句柄指向interface
  end
endmodule

virtual counter_if vif 声明了一个虚接口类型的句柄,虚接口类型的句柄声明之后,初值是null,它没有指向任何对象,而driver的new()函数,传的参数是一个虚接口类型的句柄。

而在top层实例化的时候,对driver实例化时new函数传进来的是真实interface的实例 dutif,这和参数类型似乎是不一致的

UVM的验证平台

interface my_if(input clk, input rst_n);
   logic [7:0] data;
   logic valid;
endinterface

class my_driver extends uvm_driver;
   virtual my_if vif;
   `uvm_component_utils(my_driver)
   function new(string name = "my_driver", uvm_component parent = null);
      super.new(name, parent);
      `uvm_info("my_driver", "new is called", UVM_LOW);
   endfunction
endclass

//在top层里面
module top_tb; 
my_if input_if(clk, rst_n);
my_if output_if(clk, rst_n);
initial begin
   uvm_config_db#(virtual my_if)::set(null, "uvm_test_top", "vif", input_if);
end
endmodule


这个里面从top_tb,向uvm_test_top层,也就是driver传递interface,config_db#()中的参数类型,也是一个virtual的my_if,但是最终传递的值是input_if,然而它是一个interface的实例化对象

能够说服自己的解释就是虚接口类型的句柄指向的就是interface的实例化对象,所以两者是等价的,那么为什么不能直接在sv中的参数写实例化对象呢?

为什么要用config_db,是为了解决什么问题?

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值