uvm_sequence中的body任务能否自动执行

答:sequence启动时,自动执行body。

  • 每一个sequence都应该派生自uvm_sequence,并且在定义时指定要产生的transaction的类型,这里是my_transaction。
  • 每一个 sequence都有一个body任务,当一个sequence启动之后,会自动执行body中的代码。
class my_sequence extends uvm_sequence #(my_transaction);
     my_transaction m_trans;

     function new(string name= "my_sequence");
         super.new(name);
     endfunction

    virtual task body();
        repeat (10) begin
        `uvm_do(m_trans)
        end
        #1000;
    endtask

   `uvm_object_utils(my_sequence)
endclass

在上面的例子中,用到了宏: uvm_do。这个宏是UVM中最常用的宏之一,它用于:

  • ①创建一个my_transaction的实例m_trans;
  • ②将其随机化;
  • ③最终将其送给 sequencer。

如果不使用uvm_do宏,也可以直接使用start_item与finish_item的方式产生transaction。

  • 10
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值