自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(15)
  • 资源 (1)
  • 收藏
  • 关注

原创 记录一下由于import冲突问题

明确指定要使用的包中的成员:而不是使用通配符来导入所有成员,可以显式地指定要使用的包中的具体成员,避免命名冲突。使用重命名方式来导入包成员:通过使用as关键字,将导入的包中的成员重命名为不同的名称,以避免冲突。避免导入多个包中具有相同名称的成员:如果两个或多个包中的成员名称相同,可以选择只导入其中一个包,或者根据需要手动引用特定包中的成员,以避免冲突。假设pkg1和pkg2中都有相同名称的成员,那么在编译MyModule模块时,编译器无法区分使用哪个包中的该成员,从而引发冲突。

2023-11-02 19:58:27 158 1

原创 Git常用命令

对于集中式工作流,不同用户对相同地方做出修改后push后会产生冲突。解决方案为,先把远程库的内容pull下来,然后人工解决冲突后,再push到远程库。main 分支,哈希值bb5b3c6,for test为上一次commit提交的信息。

2023-07-09 20:42:42 132 1

原创 同步fifo的三种实现方法

目录同步fifo框图判断空满方法一Verilog实现TB实现波形判断空满方法二Verilog实现TB实现波形判断空满方法三Verilog实现TB实现波形*参考*同步fifo框图下图为深度为4的fifo写示意图。当wr_ptr = rd_ptr时,要么fifo为空(最开始状态),要么fifo为满(最后状态)判断空满方法一使用计数器(counter)记录读写操作,当(wr_en & !rd_end) == 1时,只写不读,计数器加1;当(!wr_en & rd_end) ==

2022-05-12 17:25:38 2061 1

原创 10010序列检测器

10010序列检测器重复检测输入,当检测到输入端顺序输入10010序列时,输出为1。1.使用同步状态机1.状态转移图2.Verilog 实现module detect_10010( input clk, input rst_n, input in_a, output reg detect); parameter S0 = 3'b000, S1 = 3'b001, S2 = 3'b010, S3 = 3'

2022-05-12 08:00:00 3751

原创 同步复位和异步复位同步释放

同步和异步时序电路在了解同步复位和异步复位前,我们要首先了解同步时序电路和异步时序电路的概念。同步时序电路,指的是电路中所有的触发器的时钟使用统一的clk,状态变化发生在同一个时刻。异步时序电路,指的是电路中没有统一的clk,触发器的状态变化有先有后。同步复位同步复位,指的是在同步时序逻辑电路中复位信号受统一的时钟控制。以最简单的同步复位D触发器为例Verilog实现moudle synchronous_reset( input clk, input a_in, output re

2022-05-09 22:09:38 1596

原创 虚拟机yum 或者 ping 连接不上网络

虚拟机连接不上网络如果你尝试了很多教程,仍然连不上网。这可能是你看的最后一个教程。重置网络设置一般到这一步就可以连上网了,现在我们尝试ping一下。测试,ping 网络ping www.baidu.com若还是连接不上,请接着看修改系统配置切到network-scripts目录,cd /etc/sysconfig/network-scripts修改ifcfg-ens33,vim ifcfg-ens33,修改BOOTPROT和 IONBOOT ,结果如下:BOOT

2022-05-03 21:27:40 1554 6

原创 边沿检测电路

边沿检测上升沿检测原理图Verilog代码如下:module posedge_detect( input clk, input rst_n, input in_a, output p_det); reg reg_q; always @(posedge clk or negedge rst_n) begin if(~rst_n) reg_q <= 0; else begin reg_q <= in_a; end

2022-04-28 22:20:19 550 6

原创 手撕代码-奇偶分频

1.偶分频占空比,一个周期内,高电平时间在整个周期时间的占比。D触发器2分频​ 使用一个D触发器,把输出Q的取反,作为输入,实现电平的翻转。因为T0时刻,Q反传入输入D,需要等下一个时钟上升沿T1,才会传到输出,所以实现了2分频。4分频使用两个D触发器串联,以第一个D触发器的输出Q,作为第二个触发器的时钟。verilog代码如下:module d_div2_4( input clk, input rst_n, output clk_div2, output clk_d

2022-04-27 21:52:50 970 14

原创 I2C协议关于ack和nack的思考

I2C协议关于ack和nack的思考clock时钟是由master端产生的,而不管master还是slave都可以发送ack/ack。ack/nack由receiver产生。当master是发送器,slave是接收器时,ack/nack由slave接收器产生。如,在地址传输周期内,和master进行写操作的周期内,ack/nack是由slave接收器产生。当master是接收器,slave是发送器时,ack/nack由maste接收器产生。如master进行读操作的周期内,ack/nack是由ma

2022-04-05 21:31:08 4524 16

原创 手把手教你在linux和windows终端之间进行文件共享

linux和windows设备之间文件共享1. 在windows端,新建一个文件夹用作共享。我在D盘建立了一个名叫“virtual_share”文件夹。(D:\virtual_share)2.把“virtual_share”文件夹挂载在linux端。步骤文件夹挂载成功3.把共享文件夹复制到当前位置cp cd /mnt/hgfs/virtual_share/ ./ -r进入共享文件夹 cd /mnt/hgfs/virtual_share/...

2022-04-05 11:25:17 5095 4

原创 APB协议断言检查你必需知道的几点

一、APB时序图1.write transfer2.Read transfer二、APB协议断言检查1.psel为高时,paddr不可以为X值property psel_no_x; @(posedge clk) psel |-> not ($isunknown(paddr));endproperty: psel_no_xassert property (psel_no_x) else `uvm_error("ASSERT", "PADDR is unknown when PS

2022-04-04 20:27:41 2041 6

原创 ++i,i++ , i--,--i, i = ++i, i = i++在for循环的区别

++i,i++ , --i, i --, i = ++i, i = i++在for循环的区别结论:++i,i++ , i = ++i, i = i++在for循环中下面的用法中是没有区别的。–i, i --在for循环中下面的用法中是没有区别的。1.for循环的逻辑for语句的一般形式为:for(表达式1,表达式2;表达式3)语句:它的执行过程如下:先求解表达式1.求解表达式2,若其值为真(非0),则执行for语句中指定的内嵌语句,然后执行下面的第3步。若为假(0),则结束循环,

2022-04-03 22:26:10 1729 1

原创 分享让世界更美好

1、你来自哪里?来CSDN想收获什么?你来自哪里?《起世因本经》记载,劫初以来,一切人类皆从光音天降,乘空而行,不由母腹。迨食粳米之后,因有筋脉骨髓,成男女之相。要非得说来自哪里,我会说来自你心里。虽然网上有些人在唱衰CSDN,但是CSDN仍然是大多数人遇到技术问题首先想到社区。社区内拥有非常多乐于分享的优秀博主,希望在这里与大家一起探讨技术一起成长。分享优质内容,打破知识壁垒,使初学者少走弯路。分享让世界更美好。2、你的昵称/头像有什么特殊意义吗?希望自己保持一颗童心,归来仍是少年。打开心理的窗

2022-04-03 19:26:14 301

原创 断言验证-sequence

Sequence定义1. 基本操作符号 ## ##[min:max] ##[1:$] [*n] [*m:n] [==m] [==m:n]##用来表示周期延迟符号,例如##n表示在个时钟周期后,##0表示在当前周期,即交叠周期。sequence a b @(posedge clk) a ##1 b;endsequence##[min:max]表示在一个范围内的时钟周期延迟。min、max必须是非负数,序列会在从min到max时间窗口中最早的时间来匹配。sequence a b @

2022-04-03 18:04:57 1244 1

原创 vim 复制粘贴

VIM编辑器1.复制和粘贴整行我们都知道复制的快捷键是“nyy”,粘贴的快捷键是“p”。Tips1.“yy” 是复制光标所在行,“nyy”是复制包括光标所在行以及向下的“n-1”行**,一共n行。2. “p”是将已经复制的数据,粘贴在光标所在行的下一行。“P”为粘贴在光标所在行的上一行。2.粘贴和复制一个单词当我们想粘贴一行数据中的某些单词时有一些快捷键如下:yw 复制一个单词 (包括单词后面的空白字符)4yl 复制当前光标下的字符、以及后面三个字符,总共四个字符4yh 复制光标前面

2022-04-02 16:21:10 47672 4

包含了全国各大半导体材料企业名录

包含了全国各大半导体材料企业名录

2022-04-02

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除