![](https://img-blog.csdnimg.cn/20201014180756922.png?x-oss-process=image/resize,m_fixed,h_64,w_64)
断言验证
文章平均质量分 79
IC learner
记录和分享学习和工作过程中的个人总结
展开
-
APB协议断言检查你必需知道的几点
一、APB时序图1.write transfer2.Read transfer二、APB协议断言检查1.psel为高时,paddr不可以为X值property psel_no_x; @(posedge clk) psel |-> not ($isunknown(paddr));endproperty: psel_no_xassert property (psel_no_x) else `uvm_error("ASSERT", "PADDR is unknown when PS原创 2022-04-04 20:27:41 · 1964 阅读 · 6 评论 -
断言验证-sequence
Sequence定义1. 基本操作符号 ## ##[min:max] ##[1:$] [*n] [*m:n] [==m] [==m:n]##用来表示周期延迟符号,例如##n表示在个时钟周期后,##0表示在当前周期,即交叠周期。sequence a b @(posedge clk) a ##1 b;endsequence##[min:max]表示在一个范围内的时钟周期延迟。min、max必须是非负数,序列会在从min到max时间窗口中最早的时间来匹配。sequence a b @原创 2022-04-03 18:04:57 · 905 阅读 · 1 评论