VHDL语言基础-数据类型及运算符

目录

VHDL的数据类型:

VHDL中定义的10种标准数据类型:

约束区间说明:

用户自定义数据类型:

使用格式:

常用的用户自定义类型:

枚举类型:

子类型SUBTYPE:

整数类型和实数类型:

数组类型:

记录类型:

数据类型转换:

VHDL的运算符: 

运算符的优先级:


VHDL的数据类型:

VHDL中定义的10种标准数据类型:

约束区间说明:

注意:字符要区分大小写,比如高阻状态是‘Z’,而不是 ‘ z ’ 。

用户自定义数据类型:

根据电路设计需求,用户自定义合适的数据类型

使用格式:

常用的用户自定义类型:

枚举类型:

把类型中的各个元素都罗列出来,如在状态机中的状态一般就采用枚举类型定义

子类型SUBTYPE:

由TYPE所定义的原数据类型的子集

整数类型和实数类型:

由于范围太大综合器无法综合,所以给它们定义一个范围

数组类型:

将相同数据类型的数据集合在一起形成一个新的数据类型。

记录类型:

将不同数据类型的数据和数据名组合在一起而形成新客体

数据类型转换:

VHDL中的数据类型可以通过IEEE库中的类型转换函数进行强制性转换。


VHDL的运算符: 

VHDL中的运算符主要分为算术运算符逻辑运算符关系运算符其他运算符等四类。

运算符的优先级:

  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Vizio<

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值