数字逻辑---头歌实训作业---加法器设计(Verilog)

第1关:全加器的设计—门级原始结构方式

如有任何不解或者想要答案代码,可在评论区喊话我哦,希望我的答案对你有帮助,点个关注再走吧,感谢!!!

本关卡最终答案:

 

任务描述

本关任务:使用门级原始结构方式描述全加器。

相关知识

全加器

全加器FA(Full Adder)是实现两个1位二进制数(x、y)和来自低位进位(Ci或Cin)相加,产生和(s)与进位输出(Ci+1或Cout)的组合逻辑电路。电路原理图如下:

FA

逻辑电路的结构化定义

Verilog包含了一系列与通用逻辑门电路对应的门级原始结构,一个逻辑门可以通过定义其函数名、输入和输出来表示。门级原始结构可以用于定义较大规模的电路。 门级原始结构又称门实例化 gate instantiation,语法如下:

 
  1. gate_name [inst_name](output_port,input_port{,input_port});

例如,一个2输入与门,其输出为y,输入为x1和x2,则该与门可以表示为:

 
  1. and( y, x1, x2 );

一个3输入或门,可以定义为:

 
  1. or( y, x1, x2, x3 );

一个3输入异或门,可以定义为:

 
  1. xor( y, x1, x2, x3 );

编程要求

根据上述电路图,在右侧编辑器中补充代码,注意接口信号以右侧代码中的命名为准。

测试说明

平台会对你编写的代码进行测试。

<
  • 22
    点赞
  • 67
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 24
    评论
评论 24
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小余还是很OK滴

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值