数字逻辑---头歌实训作业---多路选择器设计与应用(Logisim)

第1关:2选1选择器设计

如有任何不解或者想要最终实验代码,可评论区喊话哦,希望我的答案对你有帮助,点个关注再走吧,感谢!!!

本关卡最终答案:

任务描述

  1. 本关任务:在Logisim中绘制2选1多路选择器,先在本地完成测试,体验数据选择的功能,然后在线完成测评。

相关知识

多路选择器又称数据选择器、多路开关或复用器(MUX,Multiplexer),在多路数据传送过程中,能够根据需要将其中任意一路数据选中送到输出端的电路。 2选1多路选择器电路原理图如下:

mux2-1

2路选择器简化真值表如下:

s f
0 w0​
1 w1​

表达式:f=sw0+sw1 在此图基础上,利用门电路的封锁性特征构建使能信号,注意使能无效时输出的值。

引脚说明

信号 I/O 位宽 说明
nEnable 输入 1 位 使能端,低电平有效。nEnable=0时选择;nEnable=1禁止选择,此时输出恒为1。
Select 输入 1 位 地址选择(数据端口选择)
D1,D0 输入 1 位 2路数据输入
F 输出 1 位 数据输出端

子电路外观

MUX21

请勿移动引脚位置,否则无法完成测试!

实验内容

实验电路框架MUXlab.circ下载:鼠标右击右侧代码区,Download File

,

在Logisim中打开实验电路框架,在工程中的“2选1MUX”子电路中完成选择器的设计。

测试说明

本地实验完成后,请用记事本或者其他纯文本编辑器打开电路文件(MUXlab.circ),全选、复制,然后粘贴到Educoder代码窗口中,点击右下方的“评测”按钮,平台会对你的代码进行测试。

  • 28
    点赞
  • 164
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 72
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 72
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小余还是很OK滴

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值