Difference between cover property and assert property

The difference is there is no failure with a cover directive. When you cover a property, you expect it to be true at some point, but it is OK to be false. If you assert a property, it can never be false.

For example, to make sure you have tested back-to-back-write operations, you would write a cover directive:

 

cover property (@(posedge clk) op==Write |=> op==Write);

If you have a Write followed by a Read, that is OK, so you would not write this as an assertion.

If you wanted to test that after a Read operation, you must get a data Valid signal between 1-4 clock cycle later, you would write an assertion.

 

assert property (@(posedge clk) op==Read |-> ##[1:4] Valid);

  • 9
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值