初学FPGA问题集合和解决

问题一:USB BLASTER驱动的安装

   初学FPGA首先便是要对买的开发板进行测试,这就需要一个程序烧录器,需要买一个

USB BLASTER,想要使用它首先便要下载它的驱动程序。

  这有两种方式:

         一是已经下好了quartus II的,现在的quartus II一般都会自带有USB-BLASTER的驱动程序。

         二是没有下quartus II的,就需要自己单独下一个驱动程序    https://pan.baidu.com/s/1E6lAvyzNJFYgljLb7hJLlA   提取码:tvwg  

具体操作如下:

   首先把USB-BLASTER和板子的电源线插好,打开板子的电源开关,(win10流程)然后打开电脑的设置,点开蓝牙和其他设备,在相关设置下找到设备和打印机,点开然后右键USB-BLASTER,点击属性-硬件,更新或安装驱动软件程序软件,选择浏览计算机以查找(不要选自动搜索),现在quartus II一般有自带的USB-Blaster驱动程序,文件路径一般在

 

X:\quartus\drivers\usb-blaster(选到这一级就可以了,不要在往下找X32或X64了,不然下载不成功)

 点击下一步就成功了。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值