第十五届蓝桥杯eda分享

今天是2024年6月1日,我是来自广东的一名eda选手,有幸参加eda全国总决赛。我参赛的地点是广东外语外贸南国商学院。当然蓝桥杯偏重于IT,对于eda设计这种电子赛不算很出名。进入正题,我想分享我的国赛设计,也包括24年国赛题目,但是设计题的资源包我是拿不到的,仅供各位参考。

这一幅图是eda设计的2D视图,比赛题目要求需要上传2D试图下的.jpg图片。个人感觉,可以根据2D视图快速观察是否丝印摆放正确,我当时也是检查了很多遍丝印,但是还是漏掉了一个,很不显眼的重合的丝印。

 

5ec0a233cbc2401b9a48fb450c9a9725.png

这幅图是顶层设计

ded06ee38ecc4ca3b7f9ffabd0ef5219.png

这幅图是底层设计 

0688e43d0169407781d11239d282e939.png 

 设计思路:

  先依据原理图把重要的部分优先布局(注重优先性。eg:题目会给你几个器件的固定位置,那么这个器件的流向应该是尽量与芯片引脚同方向。意思是尽可能减少飞线的交叉。布局布的好,走线就会更好走。),我一般会选择先布局主控电路因为主控最重要->然后依次是通信->模拟->电源。当然通信如果是靠差分信号的话,需要考虑差分布线,在一般的2层板工况要求不高一般没考虑阻抗匹配,然而在高速板需要仿真后再考虑阻抗匹配。(这里就不拓展了)然后就是晶振、电感、天线、过长高速线等信号的包地,避免其辐射干扰其他信号。之后就是尽量走线横平竖直,不同层走线交叉部分相互正交。

然后说一下电源的电容以及芯片附近的电容影响与如何摆放。电源的电容有从大容量到小容量接近引脚放置,也有小容量到大容量接近引脚放置,并且要有电流流向依次经过才有比较好的滤波效果。(我是喜欢从大容量到小容量给到ic。小电容100nf接近芯片电源摆放,电源从电容经过再给到ic。

最后需要防止地过孔,对于每一个跟地相接的元器件,都尽可能防治一个以上的地过孔,因为最后两层板一般会采用大面积铺铜,提供过孔能让器件最快回到地平面。当然我这个国赛设计的就是又一点不够时间,只在每个元器件放了过孔对于那些空白的区域没有放置。

关于电源,我个人喜欢从电源滤波后的供电环绕板子一圈,其他需要供电的元器件则从这条总电源线取电,刚开始走直角,最后加上泪滴,就解决了供电问题。

今年的蓝桥杯在6月2号晚上7点就出结果。真的很迅速。比赛方还单独给每一位参赛同学提供了一件纪念T恤。1ce45fcc4bec4847a7236b8e3825dce2.png

 接下来是题目分享

 

94d83fb31598489d953ef16c9cdea326.png

20d549a97f1e40db9843e656b0861cf6.png 

8336b239048c4a0b8d551fe50397676a.png 

c2eb632f01524c519cc6ee31c8105c4e.png 

a274b687e1bb41f7b4622e795fea3f07.png 

8e1316fe33124286bd0787830c25320a.png 

d1e9c745fbb24ec9b1d1388a97d8a008.png 

b6fde877cfc5423b905aa0c62194ba12.png 

60a6dd898ae843098e5a00dcbb892232.png 

af39c1b7cf1c49e9b2ab35f61c047056.png 

0dc6de2f177541f9a4c010764702a566.png 

34ac1ad4cfbb43329103877d887f62d1.png以上就是今年国赛的所有题目,给各位准备参赛的选手提供一些参考。喜欢可以评论交流。

个人觉得今年国赛难度算适中,因为主控IC出线并不是很多,相较于第十四届蓝桥杯国赛的出线是相对更加多。对于布局的话,今年的eda设计元器件虽然看起来很多但其实还是很好布局的,当然我觉得我布局不算是很好的,像电位器、热敏电阻的放置我觉得都算是随意的,我更加注重于少打孔能一条线走通一个信号线。 

 最后祝大家取得国一。

  • 13
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
### 回答1: 12届蓝桥杯EDA(电子设计自动化)竞赛是由中国电子学会和全国大学生电子竞赛组委会主办的一项国内顶尖电子设计竞赛。该竞赛旨在鼓励大学生在EDA领域的深入研究与创新,培养高水平电子工程人才。本届比赛以FPGA为核心,要求参赛选手设计并实现面向工业应用的高效、稳定的电子产品解决方案。 本届蓝桥杯EDA竞赛以客观的比赛评测方式为参赛者提供了公正公开的竞争环境。比赛选手在预赛中必须在规定时间内完成指定的电路设计与编程任务,根据任务要求完成详细的设计文档和实现过程报告,同时需要进行仿真测试,并通过官方评测系统提交作品。 在决赛阶段,参赛选手需要面对更加复杂的任务,需要综合考虑电路设计的成本、功耗、面积、速度等多种因素,以及实际应用场景下的可行性问题,完成多种电路设计方案的探究、对比和优化。评委团将会从多个角度对参赛作品进行评分,并根据评分结果选出最终的获奖选手。 本届蓝桥杯EDA竞赛的成功举办,为中国的EDA领域培养了一批高水平的电子工程人才,在推动中国电子产业的发展方面发挥了重要作用。 ### 回答2: 12届蓝桥杯EDA(电子设计竞赛)赛事客观评价如下: 首先,赛事规模庞大,参赛选手众多,从初赛到复赛再到总决赛,都吸引了来自全国各地的学生参与。同时,比赛组委会也提供了详细的赛事规则和技术要求,并规定了严格的评分标准,在赛事的公正、公平方面确保了较高水平。 其次,赛事的主题紧贴时代发展需求,具有前瞻性和实践性。通过要求选手设计并实现各种主题下的电子设备,如机器人、智能家居、智能医疗等,既考验了选手的技术实力,也提高了他们的动手能力和创新能力,有利于培养优秀的电子设计工程师。 最后,赛事的组织、服务和宣传方面也进行了周密安排,比如提供免费的培训和技术支持、组织各项活动、吸引媒体宣传等,提升了参赛选手的参与热情和比赛影响力。 总之,12届蓝桥杯EDA赛事客观而言是一次成功的电子设计竞赛,为培养优秀的电子设计工程师和推动科技创新发展做出了积极贡献。 ### 回答3: 12届蓝桥杯EDA竞赛是一次很好的机会,让参赛者有机会展示自己的创意和能力。这是一场为学生量身定制的数据竞赛,旨在促进学生学习和实践相关领域的技能。 这次比赛的客观性非常高,所有的参赛者都按照相同的规则进行竞赛。比赛的评标标准是公正的,评委不考虑个人观点和参赛者的身份。 在这个竞技过程中,参赛者需要有广泛的知识背景,包括数据分析、数据清洗、数据预测和现实世界应用等方面。除此之外,还需要具备一定的项目管理技能和团队合作能力,以便能够顺利完成所有的任务。 参赛者需要在规定的时间内完成作品,这也要求他们有高效的时间管理和进度跟踪能力。同时,他们还要注意团队合作和沟通,以确保整个团队顺利运作。 总的来说,12届蓝桥杯EDA竞赛是一次有挑战的、但是客观公正的竞赛。它有助于学生们掌握实际技能和提升团队合作能力,是一次非常宝贵的学习机会。
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值