自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(10)
  • 收藏
  • 关注

原创 7. ADC采样

ADC模块是一个逐次逼近(SAR)型ADC,分辨率可选择为16位或12位。ADC由内核和封装器组成。核心由模拟电路组成,包括通道选择MUX、采样保持(S/H) 电路、逐次近电路、基准电压源电路和其他模拟支持电路。封装器由配置和控制模数转换器的数字电路组成。这些电路包括用于可编程转换的逻辑、结果寄存器、到模拟电路的接口、到外围总线的接口、后处理电路以及到其他片上模块的接口。每个ADC模块由单个采样保持 (S/H) 电路组成。ADC模块设计为在同一芯片上重复多次,允许同时采样或独立操作多个ADC。

2023-12-08 14:58:26 967

原创 6. PWM脉宽调制学习(下)

①周期触发:当周期错误联防事件发生时,TZCTL 寄存器中的动作立刻输出到 ePWMxA 和 ePWMxB 引脚上,另外,周期错误联防事件标志位(TZFLG[CBC])被置位,同时当 TZEINT 寄存器和 PIE 模块的中断使能时,ePWMx_TZINT 中断就会产生。①如果中断被使能,ETSEL[INTEN]=1 并且中断标志清零,ETFLG[INT]=0,则产生中断脉冲且中断标志位置位,ETFLG[INT]=1,事件计数器清零,ETPS[INTCNT]=0,再重新对事件计数。代码中没有用到,屏蔽了。

2023-12-01 09:09:28 186 1

原创 6. PWM脉宽调制学习(上)

一个有效的 PWM 外设能够占用最少的 CPU 资源和中断,但可以产生灵活配置的脉冲波形,并且可以方便被理解与使用。单周期的 PWM 波形很简单,主要就是控制脉冲的周期,脉冲的宽度,脉冲起落的时间,一个周期内的脉冲个数,F28377中每个 ePWM 模块由两路 ePWM 输出组成,分别为 ePWMxA 和 ePWMxB,这一对 PWM输出,可以配置成两路独立的单边沿 PWM 输出,或者两路独立的但互相相对称的双边沿 PWM 输出,或者一对双边沿非对称的 PWM 输出,共有12对这样的 ePWM。

2023-11-29 16:26:17 624 1

原创 5. CMD文件学习

段又可分为两大类:已初始化的段和未初始化的段。未初始化的段只是保留变量的地址空间,未初始化的段并不具有真实的内容,在程序运行过程中才向变量内写数据进去,存放于数据存储空间。1、MEMORY的page0为程序存储,其中为各个存储器映射的地址与空间大小,page1为数据存储,其中为各个存储器映射的地址与空间大小。为使用大寄存器模式时的全局变量和静态变量预留的空间,在程序上电时,cinit空间中的数据复制出来并存储在.ebss中,与.ebss不同的是.bss分配范围被限制在低64K 16位数据区。

2023-11-21 14:39:22 211

原创 4. CLA(可编程控制律加速器)

CLA 是一款独立的 32 位浮点处理器,运行速度与主CPU 相同。该 CLA 对外设触发器作出响应,并与主 C28x CPU 同时执行代码。这种并行处理功能可以有效地将实时控制系统的计算性能提高一倍。通过利用 CLA 为时间关键型功能提供服务,主 C28x CPU 可以自由地执行其他任务,如通信和诊断。

2023-11-09 12:26:27 826 1

原创 3. 定时器中断

DSP28377的定时器中断配置详情过程

2023-11-01 10:45:40 287 1

原创 2. 学习DSP28377外部中断

了解DSP28377如何进行外部中断配置。

2023-10-23 11:20:42 1157 1

原创 1. 系统时钟来源

了解DSP28377系统时钟的来源与如何设置

2023-10-09 17:05:03 321 1

原创 华峰测试机学习(二)

QTMU_PLUS、CBIT128和DIO_PLUS板卡硬件介绍

2022-11-19 14:29:19 1059 1

原创 华峰测试机学习(一)

FOVI100单板八通道,六个电压量程档位,七个电流量程档位FPVI10单板双通道,8个电压量程档,7个电流量程档

2022-11-18 15:28:52 1683 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除