fpga图像处理实战-YCBCR转RGB

计算公式   

    R = Y + 1.402*(V-128) = Y + 1.402*CR - 1.402*128

    G = Y - 0.344*(U-128) - 0.714*(V-128) = Y - 0.344*CB -0.714*CR + 1.058*128

     B = Y + 1.772*(U-128) = Y + 1.772*CB - 1.772*128

FPGA实现

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2024/08/06 20:34:03
// Design Name: 
// Module Name: img_ycbcr_rgb
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module img_ycbcr_rgb(
    input                               clk                        ,
    input                               rst                        ,
    input              [  23: 0]        img_data_i                 ,
    input                               valid_i                    ,
    output             [  23: 0]        img_data_o
  • 5
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值