FPGA
文章平均质量分 86
whik1194
这个作者很懒,什么都没留下…
展开
-
基于FPGA的开源项目:FOC/SHA/USB/JPEG等
本库用 FPGA 实现一个通用的 USB 1.1 (Full Speed) device 控制器,可以像 STM32 单片机那样,用非常简单的电路来实现 USB 设备,而不依赖额外的 USB 芯片。(FOC),用于驱动永磁同步电机 (PMSM) 或无刷直流电机 (BLDC),FOC控制算法对传感器采样速率和处理器算力提出了一定的要求,使用 FPGA 实现的 FOC 可以获得更好的实时性,并且更方便进行多路扩展和多路反馈协同。以下是从大佬的GitHub主页按Star排名挑选的一些项目,分享给大家参考学习。原创 2024-09-06 20:30:43 · 2137 阅读 · 0 评论 -
FPGA Verilog移位寄存器应用:边沿检测、信号同步、毛刺滤波
首先是信号定义,以下所有功能的实现都是基于此端口定义。灵活运用移位寄存器,可以使我们的设计更简洁、可读性更好,模块化程度更高。原创 2023-07-16 16:09:24 · 1346 阅读 · 0 评论 -
获取Xilinx FPGA芯片IDCODE的4种方法(支持任何FPGA型号)
对于ZYNQ-7000系列,一只没有找到IDCODE相关的说明文档,终极解决办法就是直接从BSDL边界扫描文件中查找,关于边界扫描,这是一个非常有意思的JTAG技巧,我们后面再介绍。对于常用的Spartan-6系列可以在UG380文档中找到对应的IDCODE,Spartan-7、Artix-7、Kinte-7、Virtex-7可以在UG470文档里找到对应的IDCODE。所以,如果想要获取任何FPGA芯片的IDCODE,只需要获取对应的BSDL文件即可。ZYNQ系列没有找到对应的IDCODE说明文档。原创 2023-07-01 23:11:56 · 1381 阅读 · 0 评论 -
Xilinx ZYNQ系列10款型号IDCODE汇总(2023年7月最新版)
可以通过JTAG读取IDCODE来验证是否正确。原创 2023-07-01 22:22:20 · 1112 阅读 · 1 评论 -
Xilinx ISE、iMPACT、PlanAhead在Windows 10 64位系统下闪退的解决办法
Xilinx ISE、iMPACT、PlanAhead在Windows 10 64位系统下闪退的解决办法原创 2022-07-17 13:06:38 · 4778 阅读 · 5 评论 -
《Verilog HDL与FPGA数字系统设计》书籍试读体验
最近参加一个面包板社区的图书试读活动:《Verilog HDL与FPGA数字系统设计》书籍试读,有幸从众多申请者中得到这次试用机会,非常感谢面包板社区和机械工业出版社的支持。收到这本书的过程,中间还有一些小插曲。这本书是由面包板社区官方通过京东快递从深圳发出,为到付方式。6月10日,在手机上看到有一个京东快递正在派送,那天正好周末,在家收到了一个京东快递,是一个文件袋,很薄,感觉不像是图书,像是几张纸,打开一看果然不是,是几张发往西安的发票。我赶紧联系了面包板社区的小编,幸好之前加过小编的微信,很快就联系上原创 2022-07-11 22:58:03 · 2069 阅读 · 1 评论 -
FPGA入门必备学习网站和工具
推荐几个非常不错的学习网站,包括基础语法学习、IP核下载、基本语法联系等OpenCores:非常丰富的IP核资源,主要有通讯协议编解码、数学运算、处理器、DSP、存储器、测试验证、音视频等asic-world:全套Verilog学习资料,包括入门指南、学习示例、问题解答、工具、书籍等。chipverify:非常齐全的Verilog/SystemVerilog教程,但是有广告。HDLBits:非常有名的Verilog练习网站,Verilog基本语法,组合逻辑,时序逻辑,仿真Testbench等。HDL在线综合原创 2022-07-08 21:07:45 · 1780 阅读 · 0 评论 -
读取Xilinx FPGA芯片的唯一ID号DNA
用过单片机的朋友都知道,单片机芯片内部都有一串序列号,比如STM32,称之为Unique device ID,是一个96Bit的只读数据。和单片机一样,FPGA芯片内部同样也有ID,以常用的Xilinx和Altera为例,Altera称之为Chip ID,Xilinx FPGA称之为Device DNA,上位机通过编程器先读取出芯片的ID,经过加密算法后生成密钥,下载程序的同时,向Flash中某个地址写入密钥。芯片上电之后,先读取芯片的ID,经过加密算法后,生成本地密钥,和Flash中的密钥相对比,若相同执原创 2022-06-10 22:47:14 · 3972 阅读 · 2 评论 -
国产FPGA芯片替代选型需要注意的几点
最近公司在进行FPGA国产化方案的准备工作,正在做市场的调研,也约了国内几家FPGA厂商的市场工程师来交流。关于FPGA,我算是半路出家,毕业后做了一年左右的MCU开发,后来由于项目需要接触到FPGA开发,然后学习了FPGA,之后就一直做FPGA相关的工作,其实我真正使用FPGA的时间也不过短短4年。电子电路开发学习精通STM32、FPGA实现流水灯,程序下载与擦除,IDE安装与卸载。 分享开源项目、板卡评测、学习笔记相关文章。 可能不会经常更新,但每一篇都是精心编写。170篇原创内容公众号本文来聊聊目前国原创 2022-06-10 22:43:57 · 2819 阅读 · 0 评论 -
在FPGA上搭建一个ARM Cortex-M3软核
上一篇文章介绍了ARM DesignStart计划,其中提到了Cortex-M1/M3 DesignStart FPGA版本,支持Xilinx和国产Gowin平台,本篇文章将手把手教你如何基于ARM DesignStart计划,在FPGA上搭建一个**Cortex-M3软核处理器**,以Xilinx Artix-7™系列FPGA为例,介绍如何定制一颗ARM Cortex-M3 SoC软核,并添加GPIO和UART外设,使用Keil MDK环境开发应用程序,Jlink下载、调试ARM程序,最终的实现效果是LE原创 2022-03-27 23:22:36 · 8633 阅读 · 16 评论 -
ARM DesignStart计划——私人定制一颗ARM处理器
文章目录什么是ARM DesignStart计划?1.DesignStart Eval版本2.DesignStart FPGA版本3.DesignStart Pro版本4.DesignStart Physical&University版本Cortex-M3 Xilinx FPGA 软核下载什么是ARM DesignStart计划?2010年,ARM推出了ARM DesignStart计划,开放了1000+IP的物理版图,2015年开源Cortex-M0 IP评估版本,2017年开源Cortex.原创 2022-03-18 22:01:12 · 1965 阅读 · 0 评论 -
Notepad++配合Finger Text提高Verilog编码效率
文章目录简介安装方法使用方法配置文件的获取简介做FPGA开发的一般都不会选择IDE环境自带的编辑器,一是因为界面不够美观,二是自动补全功能不够完善。而我经常使用的是Notepad++,支持Verilog语法高亮和最基本的关键字补全,但是对于一些经常使用的模块,需要手动重复性的输入还不够完善,最近偶然发现一款插件,可以根据输入的关键字,自动生成一部分代码片段,非常方便。它就是Finger Text,是Notepad++的一款扩展插件。通过创建多个关键字和代码片段,可以大大提高编码效率,节省编码实现时间,原创 2022-03-13 18:08:56 · 5302 阅读 · 12 评论 -
Xilinx Platform Cable USB II Firmware Loader无法识别/驱动安装失败解决办法
现象描述Xilinx Platform Cable USB II无论是官方的DLC10,还是第三方的DLC9均无法 识别,表现为以下几种:Xilinx官方DLC10下载器,插上US线,红灯不亮,或者连接上FPGA芯片后,红灯没有变为绿色。设备管理器里显示为Xilinx Platform Cable USB II Firmware Loader或者带感叹号的Xilinx USB Cable以上几种情况大多都出现在Win10环境下同时安装了ISE和Vivado导致的,都表示驱动没有正确安装。解决办原创 2022-03-08 21:03:47 · 5934 阅读 · 7 评论 -
Microsemi Libero免费版License申请教程(2022年)
Microsemi Libero SoC开发环境License申请流程,由原来的Microsemi官网改为了Microchip官网申请。原创 2022-02-27 14:31:05 · 3675 阅读 · 6 评论 -
使用ISE iMPACT实现一键bit转mcs,bit文件下载,mcs文件下载
文章目录目录准备工作一、iMPACT命令行实现bit转mcs二、iMPACT命令行实现bit文件下载三、iMPACT命令行实现mcs文件下载目录命令行实现一键bit转mcs命令行实现一键bit文件下载命令行实现一键mcs文件下载Xilinx FPGA支持bit和mcs格式程序文件,bit文件通常用于调试,会下载到片上RAM,掉电数据丢失,mcs文件会下载到外挂的SPI Flash,掉电数据不丢失,启动时,FPGA芯片先读取SPI Flash数据,然后将数据转移至RAM中运行。bit文件可以转原创 2021-07-25 18:04:54 · 3108 阅读 · 0 评论 -
Xilinx Bit文件格式详解
文章目录Xilinx Bit文件如何生成Xilinx Bit文件格式参考资料Xilinx FPGA支持多种程序文件格式,如.bit/.bin/.rbt/.isc,最常用的为.bit格式,一般用于调试时下载到FPGA片内RAM,掉电会丢失,量产时将.bit文件转换为.mcs格式文件,固化到外部Flash内。比如在一些特定情况下,我们只有一个.bit文件,我们如何获取到以下信息呢?Bit文件所对应的FPGA芯片信息,如型号,封装等Bit文件所对应的FPGA工程的顶层设计名称Bit文件所生成的时间,日原创 2021-07-25 18:03:29 · 6391 阅读 · 3 评论 -
HEX(Xilinx MCS)文件格式详解
文章目录自己定义个文件格式HEX文件格式详解HEX 文件是指以hex为后缀,采用Intel-HEX编码规则的文件,可以直接使用文本编辑工具打开。通常用来对微控制器或ROM进行编程,本质上都是对存储器编程,其中包含了每个地址对应的数据。Xilinx 用于程序固化的MCS文件虽然是以.mcs后缀命名,但其本质是hex文件,把后缀改成.hex后,可以直接使用文件工具打开,符合Intel-HEX文件格式。自己定义个文件格式比如,我们有10个数据,需要存放在10个地址,我们可以自己定义一个文件格式:地址a1原创 2021-07-24 22:54:56 · 4575 阅读 · 1 评论 -
Xilinx FPGA支持的SPI Flash配置芯片型号
文章目录支持的SPI Flash芯片型号7 系列的FPGA支持的SPI Flash芯片型号Virtex和Spartan系列支持的SPI芯片型号支持的BPI Flash芯片7系列支持的BPI Flash芯片型号Virtex和Spartan系列支持的BPI芯片型号ZYNQ支持的QSPI Flash芯片型号ZYNQ系列支持的NOR Flash芯片型号ZYNQ系列支持的NAND Flash芯片型号众所周知,大多数FPGA芯片都会外挂一片Flash芯片,用于存储FPGA的程序文件。FPGA程序下载分为两种,一种是原创 2021-07-24 15:40:58 · 7491 阅读 · 9 评论 -
免费/中文/功能强大的Modbus调试软件:MThings
文章目录什么是Modbus调试软件MThings简介MThings下载与安装MThings使用教程MThings软件界面什么是Modbus调试软件简单来说,Modbus调试软件就是串口助手,或网络调试调试助手,只不过增加了协议分析,CRC计算报文帧拼接的功能。上一篇文章介绍了Modbus协议,物理层和协议层,主要包括3种模式:Modbus-RTU、Modbus-ASCII和Modbus-TCP。前两种是基于串口的,后一种是基于TCP网口的。基于串口的,我们完全可以使用普通的串口助手,如sscom,x原创 2021-07-22 22:29:29 · 8596 阅读 · 4 评论 -
FPGA Verilog实现二进制转BCD码
文章目录转换原理verilog实现方法1:纯组合逻辑实现方法2:采用状态机实现位宽可变方法3:个人写的基于状态机的BCD码转换转换原理二进制转BCD码可以采用Double_dabble算法,维基百科有详细介绍,简单可称为“移位加3”算法。8位二进制数243,移位加3计算过程:16位二进制65244,移位加3计算过程:verilog实现方法1:纯组合逻辑实现来自维基百科的实现。可以看出,二进制位宽为W,则BCD位宽只需要(W + (W - 4) / 3+1)位。如W=8,只需要10位,范围0原创 2021-07-16 19:16:41 · 4086 阅读 · 7 评论 -
如何写出易于维护的Verilog代码?
众所周知,用于FPGA开发的硬件描述语言(HDL)主要有两种:Verilog和VHDL,VHDL的出现时间要比Verilog早,Verilog由于其简单的语法,和C语言的相似性,目前被各大公司广泛使用。其实我大学时学习的是VHDL语言,后来由于公司都是使用的Verilog,又重新学习了Verilog,好在有C语言基础,Verilog很快就上手了。Verilog标准文档主要有3个版本,分别是:Verilog-1995、Verilog-2001、Verilog-2005,都是由IEEE颁布。目前最新的Ve原创 2021-06-05 22:52:44 · 637 阅读 · 0 评论 -
2021阿里云开发者大会——时隔两年平头哥有哪些杰作?
文章目录现场图片平头哥玄铁系列CPUE902:超低功耗RSIC-V架构处理器E906:能效均衡的RISC-V架构处理器E907:RISC-V架构的高阶处理器C906:高能效、RV64兼容处理器C910:搭载AI引擎的RISC-V处理器全志D1处理器平头哥ICE芯片平头哥CH2601芯片开源RISC-V CPU:wujian100_open全球半导体厂商的RISC-V内核芯片上周六,我参加了阿里巴巴在北京国家会议中心举办的“2021阿里云开发者大会-RISC-V分论坛,了解了RISC-V最新的发展情况,记得原创 2021-06-02 23:55:02 · 2101 阅读 · 0 评论 -
ChipScope波形保存及离线查看
文章目录都有哪些内容?1.ChipScope中导出VCD波形文件2.使用GTKWave打开波形文件3.使用ModelSim打开波形文件总结上一篇文章介绍了,ChipScope的使用方法。ChipScope是一个非常好用的调试工具,可以实时查看FPGA内部信号的状态,设置触发信号,抓取一定时间范围的波形,截图的方式保存下来,不方便后续的查看。如何把这些波形文件存储在本地,并随时查看呢?这里介绍两种方法:GTKWave和ModelSim查看。AT24C1024B写时序:都有哪些内容?ChipScop原创 2021-05-26 23:29:57 · 1149 阅读 · 1 评论 -
Xilinx ChipScope 的使用 ICON/ILA/VIO
文章目录0.FPGA也能片上调试吗?1.Xilinx ChipScope简介2.示例工程创建3.添加ChipScope ICON IP核4.添加ChipScope ILA IP核5.添加ChipScope VIO IP核6.将ChipScope的3个IP核添加到顶层模块7.编译下载8.总结9.参考资料10.源码获取0.FPGA也能片上调试吗?FPGA与STM32等嵌入式开发最大的一个优点就是,可以在时序仿真阶段验证超过90%的功能,发现90%的问题。当所有的仿真没问题了,才能进行最后一步:板级调试。如果原创 2021-05-24 22:48:07 · 4181 阅读 · 1 评论 -
Verilog实现生成BMP文件(BMP文件格式,二进制文件读写)
BMP文件格式详解参考:BMP文件格式详解Verilog实现生成BMPmodule main;/* rgb_565 to rgb_888 */function [23:0] rgb_888 ( input [15:0] rgb_565);begin rgb_888[23:16] = rgb_565[15:11] / 31.0 * 255.0;//r rgb_888[15:8] = rgb_565[10:5] / 63.0 * 255.0; //g rgb_原创 2021-04-08 22:23:43 · 2130 阅读 · 1 评论 -
Microsemi Libero SoC/IDE/SoftConsole/FlashPro安装包所有版本下载链接
文章目录SoftConsole下载Libero SoC下载FlashPro下载Microsemi FPGA开发工具LiberoSoC、SoftConsole、FlashPro等软件官方下载链接,包括所有版本,来自Microsemi官网,推荐使用IDM多线程下载器进行下载。复制下载链接到IDM中下载,速度很快。如果遇到下载失败的情况,可以把下载链接的http改为https,或https改为http。SoftConsole下载SoftConsole官方下载页面,SoftConsole历史版本下载页面原创 2021-03-26 15:14:11 · 4862 阅读 · 4 评论 -
一文看懂SPI协议
文章目录SPI协议简介4线还是3线?4种工作模式多种传输速率SPI协议的基本时序SPI协议的升级版FPGA实现SPI协议SPI和IIC的对比总结SPI协议简介板卡内不同芯片间通讯最常用的三种串行协议:UART、I2C、SPI,之前写过串口协议及其FPGA实现,今天我们来介绍SPI协议,SPI是Serial Perripheral Interface的简称,是由Motorola公司推出的一种高速、全双工的总线协议。与IIC类似,SPI也是采用主从方式工作,主机通常为FPGA、MCU或DSP等可编程控制原创 2021-02-21 15:44:41 · 13846 阅读 · 3 评论 -
Verilog动态截取固定长度语法+:和-:
动态截取固定长度数据语法,即+:和-:的使用,这两个叫什么符号呢?运算符吗?Verilog比较方便的一个特点就是数据的截取和拼接功能了,截取使用方括号[],拼接使用大括号{},例如reg [7:0] vect;wire a;wire [3:0] b,wire [5:0] c;assign a = vect[1]; //取其中1Bitassign b[3:0] = vect[7:4]; //截取4Bitassing c[5:0] = {a, b[3:0], 1'b1}; //拼接于原创 2021-02-19 23:41:54 · 14287 阅读 · 5 评论 -
同步复位好还是异步复位好呢?
同步复位同步复位指的是当时钟上升沿检测到复位信号,执行复位操作,有效的时钟沿是前提。Verilog 中是这样写的://input dat;//reg tmp;always @ (posedge clk)begin if(!rst_n) tmp <= 0; else tmp <= dat; end同步复位的优点如下:有利于仿真器的仿真;可以使所设计的系统成为 100%的同步时序电路,有利于时序分析,而且可综合出较高的 Fmax;由于只在时钟有效电平到来原创 2021-01-08 14:58:14 · 721 阅读 · 0 评论 -
二进制和格雷码之间的转换
文章目录格雷码特点二进制和格雷码对照表二进制转格雷码HDL实现格雷码转二进制HDL实现参考资料格雷码特点格雷码属于可靠性编码,是一种错误最小化的编码方式。因为,虽然自然二进制码可以直接由数/模转换器转换成模拟信号,但在某些情况,例如从十进制的3转换为4时二进制码的每一位都要变,能使数字电路产生很大的尖峰电流脉冲。而格雷码则没有这一缺点,它在相邻位间转换时,只有一位产生变化。它大大地减少了由一个状态到下一个状态时逻辑的混淆。由于这种编码相邻的两个码组之间只有一位不同,因而在用于方向的转角位移量-数字量的原创 2020-07-20 15:20:01 · 4581 阅读 · 0 评论 -
RAM、FIFO、单口RAM、真双口RAM、伪双口RAM的区别
文章目录1.RAM和FIFO2.单口RAM和双口RAM3.真双口RAM和伪双口RAM1.RAM和FIFORAM有地址线和数据线,可通过地址线对存储单元进行寻址,读取是随机的。FIFO为先进新出,没有地址线,无法对存储单元进行寻址。FIFO的读写可同时进行,一个端口只读,一个端口只写2.单口RAM和双口RAM单口和双口指的是地址线数据线的组数。单口RAM只有一组数据线和地址线,读写不能同时进行双口RAM有两组地址线和数据线,读写可以同时进行3.真双口RAM和伪双口RAM伪双口RAM原创 2020-07-16 16:28:30 · 5538 阅读 · 0 评论 -
FPGA单比特信号跨时钟域处理
文章目录1.慢速时钟域同步到快速时钟域2.快速时钟域同步到慢速时钟域详细的原理解释: 单比特信号跨时钟域问题详解1.慢速时钟域同步到快速时钟域输入:singal_in,来自10MHz慢速时钟域的单比特信号输出:singal_out,输出100MHz快速时钟域的单比特信号10MHz = 100ns100MHz = 10ns因为慢速时钟域的最短信号长度为1个时钟时钟周期即:100ns,大于快速时钟域的时钟周期10ns,所以只需要打3拍即可:/* 从慢速时钟域同步到快速时钟域 */mod原创 2020-07-16 10:39:55 · 1297 阅读 · 0 评论 -
全平台轻量开源verilog仿真工具iverilog+GTKWave使用教程
文章目录前言关于 Icarus Verilogiverilog的安装Windows下的安装Linux下的安装MacOS下的安装查看是否安装成功基本参数介绍参数-o参数-y参数-I参数-tvhdlVerilog的编译仿真实际应用1.编译2.生成波形文件3.打开波形文件Verilog转换为VHDLVHDL文件的编译和仿真批处理文件一键执行总结参考资料推荐阅读前言如果你只是想检查Verilog文件的...原创 2019-12-03 22:18:52 · 11124 阅读 · 8 评论 -
手把手教你DIY尼康ML-L3红外遥控器
文章目录项目介绍拆解ML-L3遥控器基于Arduino的实现基于STM32的实现基于FPGA的实现实际使用效果总结代码获取参考资料推荐阅读项目介绍ML-L3是用于尼康部分型号相机的无线红外遥控器,可以通过红外方式来控制快门的释放,支持B门拍摄。官方售价100RMB左右,山寨版售价10RMB左右。虽然也能实现基本的遥控功能,但是功能还是比较单一,如不能实现定时拍摄,即用来拍摄制作延时视频的素材。...原创 2019-11-16 17:43:34 · 2480 阅读 · 0 评论 -
《手把手教你设计CPU——RISC-V处理器》读书笔记
文章目录关于书籍和胡振波关于RISC-V关于蜂鸟E200全书结构国产CPUCISC和RISC的区别RISC-V商业版本与开源版本配套源码配套开发板购买总结推荐阅读Stay Hungry, Stay foolish(求知若饥,虚心若愚)——Steven Jobs(史蒂夫-乔布斯)关于书籍和胡振波首先感谢面包板社区提供这本**《手把手教你设计CPU——RISC-V处理器篇》书籍的试读机会。这...原创 2019-11-04 09:38:42 · 9064 阅读 · 1 评论 -
Microsemi Libero使用技巧5——使用FlashPro生成stp程序文件
文章目录前言pdb文件的结构关于FlashPro导出stp格式程序文件stp文件的使用FlashPro下载器的其他功能资料下载推荐阅读前言在工程代码编译完成之后,如果需要给某个芯片下载程序时,或者是工厂量产烧录程序时,我们不需要把整个工程文件给别人,而只需要把生成的下载文件给别人,然后使用FlashPro就可以单独下载程序文件了。Microsemi FlashPro编程器支持stp/pdb两...原创 2019-10-14 14:56:54 · 4062 阅读 · 0 评论 -
Verilog实现产生任意占空比的PWM波
实现思路实现方法很简单,使用一个计数器一直计数,然后和两个值进行比较,一个值是高电平时间h_time,一个值是周期period,在小于h_time期间,输出高电平;大于h_time期间,输出低电平,到达周期period时,计数器清零。源程序端口说明clk:时钟信号nreset:复位信号,低电平复位,输出为0en:使能信号,高电平使能输出,低电平输出为0,period:PWM的周期...原创 2019-04-18 09:33:07 · 27358 阅读 · 28 评论 -
Microsemi Libero系列教程(一)——Libero开发环境介绍、下载、安装与注册
前言相比与Xilinx和Altera在国内的市场,Microsemi的FPGA在国内应用很少很少,网上几乎没有详细的教程,刚开始使用时,遇到了各种问题,自己也走了不少弯路。本系列教程以Libero V11.8.2.4,SmartFusion系列A2F200M3F FPGA芯片为例,将详细介绍Libero SoC软件的基本使用,及使用过程中遇到一些问题的解决方法。Libero 简介关于Lib...原创 2019-05-23 16:56:44 · 31781 阅读 · 36 评论 -
Microsemi Libero使用技巧3——使用FlashPro单独下载程序
前言在工程代码编译完成之后,如果需要给某个芯片下载程序时,或者是工厂量产烧录程序时,我们不需要把整个工程文件给别人,而只需要把生成的下载文件给别人,然后使用FlashPro就可以单独下载程序文件了。本文介绍如何从工程目录中提取下载文件,并使用FlashPro软件来单独下载程序。关于FlashProMicrosemi FlashPro编程系统是Microsemi的FlashPro软件和硬件编...原创 2019-10-01 20:20:27 · 6921 阅读 · 5 评论 -
Microsemi Libero使用技巧4——使用命令行模式下载程序
文章目录前言关于FlashPro关于FlashPro执行TCL脚本文件使用命令行来烧写程序1.添加FlashPro.exe文件路径到系统环境变量2.运行TCL脚本文件使用bat批处理文件简化命令行操作FlashPro下载器的其他功能总结资料下载推荐阅读前言在工程代码编译完成之后,如果需要给某个芯片下载程序时,或者是工厂量产烧录程序时,我们不需要把整个工程文件给别人,而只需要把生成的下载文件给...原创 2019-10-09 20:04:34 · 3036 阅读 · 0 评论