newcode-day1

V2 T触发器

用verilog实现两个串联的异步复位的T触发器的逻辑,结构如图:

信号示意图:
在这里插入图片描述
波形示意图:

在这里插入图片描述

输入描述:

输入信号 data, clk, rst,类型 wire,在testbench中,clk为周期5ns的时钟,rst为低电平复位

输出描述:

输出信号 q ,类型 reg

T触发器功能: q*=q^T,其中q是现态,q*是次态,T是输入,在这儿是data。
两级级联T触发器真值表:
在这里插入图片描述

代码:
`timescale 1ns/1ns
module Tff_2 (
input wire data, clk, rst,
output reg q=0  
);
//*************code***********//
reg q0=0;
    always@(posedge clk or negedge rst)
        begin
            q0<=data^q0;
            q<=q0^
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值