SV-lab1-tb2.4

task clk_gen(input int time1);//形参类型不能是logic,我试过之后不会产生时钟,因为int、integer等定义数据的位数32位,不存在溢出,而logic、wire、reg表示的是一种类似于结构的类型,wire、logic、reg没申明位数就是表示1位,数据溢出。
  clk <= 0;
  forever begin
    #(time1/2) clk<= !clk;//这里必须用小括号包起来表示是一个整体
  end
endtask

initial begin
  clk_gen(20);// generate clk
  //rstn_gen();
end

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值