SVA学习

这篇博客详细介绍了SVA(SystemVerilog Assertions)中的时序逻辑概念,包括a##1b[=2]##1c和a##1b[*1:5]##1c等表达式的用法和区别。博主强调了这些信号关系在验证中的关键作用,特别是对于理解和预测电路行为的重要性。博客内容适合系统验证工程师和数字集成电路设计人员学习。
摘要由CSDN通过智能技术生成

大佬的SVA笔记1
大佬的SVA笔记2
笔记2参考价值更大
易错点:

  1. a ##1 b[=2] ##1 c //a x x b x x x b x x c , then anytime later, c is ture
    a ##1 b[->2] ##1 c //a x x b x x x b c, at next cycle, c is ture
    a ##1 b[*1:5] ##1 c //a b b b b c 与上面的区别在于,所有的信号关系都是时钟连续的
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值