资料网址汇总

书签参考

1. spyglass CDC

2. 跨时钟域方法

3. 时钟(门控、分频等)

4. 中断异常

5. AXI总线协议

6. verilog中的循环语法

7. Linux, gvim, vivado, verdi等工具的使用

8. 约束

9. CPU/DSP cache相关

10. DC综合

11. 静态时序分析

12. 后仿、延迟反标

13. ECO

14. 内存顺序模型

15. ECC校验,通信接口协议

16. DFT相关

17. 异步FIFO

18. 低功耗

19. 乒乓操作与流水线

20. CXL协议

21. 码分多址CDMA

-------------------------------------------------------------------------------------------------------------

Spyglass检查之CDC(1) - 灰信网(软件开发博客聚合)

IC君:IC面试中常被问到:跨时钟域信号处理——握手协议(handshake)

跨时钟域处理方法总结--最终详尽版 - love小酒窝 - 博客园

门控时钟-概述_进击的芯片的博客-CSDN博客_门控时钟

通常有两种不同的时钟门控实现技术-电子发烧友网

5.3 Verilog 时钟分频

SOC时钟--时钟的属性(时钟偏斜skew、时钟抖动jitter、时钟延迟Latency、时钟转换时间transition等)_摆渡沧桑的博客-CSDN博客_时钟transition

中断、异常、trap 的区别_bjzhaoxiao的博客-CSDN博客_芯片trap

中断与异常原理总结_驿站Eventually的博客-CSDN博客

浅析CPU中断技术_公众号:程序芯世界的博客-CSDN博客

ZYNQ TIMER定时器中断_Tiger-Li的博客-CSDN博客

ARM 之FIQ(快速中断) IRQ(中断)【转】

AXI总线协议_sunshine816的博客-CSDN博客_axi协议

AXI总线整理总结_tristan_tian的博客-CSDN博客_axi 总线

深入AXI4总线-[三]传输事务结构_空白MAX的博客-CSDN博客

AXI3和AXI4区别--端口篇_outlier001的博客-CSDN博客_axi4转axi3

AXI协议中的模棱两可的含义的解释_CrazyUncle的博客-CSDN博客_axi interleave

万物皆可卷积:(AXI使用学习)AXI Interconnect简明使用方法记录

且听老秦继续扒AXI(三)_传输

Verilog:generate、for、always 语句用法与电路结构对比

<RTL coding的艺术>verilog中for循环中循环变量int/genvar区别讲解

正则表达式 - 语法 | 菜鸟教程

Shell 教程 | 菜鸟教程

Linux下常用svn命令 - jaspersong - 博客园

SVN环境中add命令详解_黄宝康的博客-CSDN博客_svnadd

vi中如何在多行行首或行尾插入删除指定字符串_百度知道

Verdi简介_XtremeDV的博客-CSDN博客_verdi

Vivado使用技巧(20):Waveform功能详解_FPGADesigner的博客-CSDN博客

李锐博恩:TCL中关于Pins的一些使用方法?

关于set_output_delay与set_input_delay概念与用法 - 矮油~ - 博客园

深入讲解set_multicycle_path多周期约束---理论篇 - whoisliang的个人空间 - OSCHINA - 中文开源技术交流社区

https://www.intel.cn/content/www/cn/zh/docs/programmable/683068/18-1/timing-constraint-precedence.html

DSP 缓存机制_桑迪亚哥的博客-CSDN博客_cache dsp

Cache写机制 - huststephen - 博客园

cache 写回策略___DARK__的博客-CSDN博客_写回策略

Cache的基本原理以及简单操作_简单同学的博客-CSDN博客_cache操作

请教CPU的cache中关于line,block,index等的理解?

计组之存储系统:8、Cache写策略(全写法、写回法、写分配法、非写分配法、多级Cache)_千寻瀑༄的博客-CSDN博客_写分配法

关于DMA,TCM(ITCM和DTCM)和Cache_默默进步---一鸣惊人的博客-CSDN博客_dtcm itcm

缓存一致性的解释_萧燃的博客-CSDN博客_缓存一致性

缓存一致性协议(MESI).docx

CPU缓存一致性协议MESI - 笔记.docx

逻辑综合重点解析(Design Compiler篇)

Design Compiler_简单同学的博客-CSDN博客

综合里dont_use/dont_touch/ideal_network的理解_亓磊的博客-CSDN博客_set_ideal_network

Design Compiler (八)--DC的逻辑综合与优化

DC、DCT与DCG

骑猪兜风:静态时序分析圣经翻译计划——汇总篇

一文解决关于建立时间和保持时间的困惑_TechDiary的博客-CSDN博客_建立时间和保持时间不满足的原因和解决方法

PrimeTime基础命令:all_fanout_普通手机用户12138的博客-CSDN博客_all_fanout

输入延时(Input Delay)与输出延时(Output Delay)

FPGA静态时序分析--IO口时序(Input Delay /output Delay)

西门电工:IMPL8. 常见的时序Special Check分析(false path/Max_Min Delay/Multicycle Path)

如何理解negative timing check

3.5 Verilog 延迟反标注

网表仿真DC/SDF/DFT等基本知识_TMC~McGrady的博客-CSDN博客_sdf网表

龚黎明:IC科普文:ECO的那些事

linux内存管理 (二) 4.2 硬件 SMP-Consistency之内存顺序模型1

JVM之内存模型分析_遇见更好的自己、的博客-CSDN博客

ECC校验_James alan的博客-CSDN博客_ecc

什么是波特率,波特率怎么计算_Crazzy_M的博客-CSDN博客_波特率

简单记录UART/I2C/SPI/DDR/AXI/PCIE通信协议_睡觉学习三餐的博客-CSDN博客_ddr spi

亿图图示:一文看懂网络七层协议/OSI七层模型

路由器工作原理_santt的博客-CSDN博客_路由器工作原理

芯片测试缺陷分类和DFT常用方法_cy413026的博客-CSDN博客_dft测试

DFT结构化设计技术 - 扫描技术(全扫描、部分扫描、边界扫描)

温戈:可能是DFT最全面的介绍--Scan

01、DFT-全面了解如何测试一颗芯片_ciscomonkey的博客-CSDN博客_芯片dft测试

DFT - 对芯片测试的理解(二) 详解

【DFT】可测性设计(三)边界扫描测试_子墨祭的博客-CSDN博客_边界扫描测试

异步FIFO的verilog代码实现(包含将满和将空逻辑)_love小酒窝的博客-CSDN博客_异步fifo将空将满

FIFO(四):异步FIFO的最小深度计算_风中少年01的博客-CSDN博客_异步fifo深度计算

FIFO实现位宽转换_FPGA硅农的博客-CSDN博客_fifo位宽转换

fifo位宽转换_柴郡领主的博客-CSDN博客_fifo位宽转换

低功耗基础概念--isolation cell

彻底弄懂乒乓操作与并行化_快,快去救列宁!的博客-CSDN博客_乒乓缓存原理详解

反压信号串扰问题解决方案-IC学习笔记(六)_Paul安的博客-CSDN博客_反压信号

FPGA中的乒乓操作思想_小林家的龙小年的博客-CSDN博客_乒乓操作

乒乓操作(Ping-Pong)的理解:为什么是另一种pipeline?_weixin_43701504的博客-CSDN博客_乒乓操作

虫子君:FPGA 设计之 跨时钟域(七 - 弹性Buffer)

进步进步在进步:CXL 协议(1.1版本)学习笔记(一)

芯工阿文:CXL学习记录

CXL:为缓存一致性而生的新一代总线 - 墨天轮

码分多址(CDMA)的本质-正交之美_dog250的博客-CSDN博客_cdma

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值