自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(29)
  • 收藏
  • 关注

原创 AD9361多片同步设计方法

AD931多芯片同步

2024-02-07 00:59:59 2322

原创 AD9361快速开发指南

AD9361是ADI(Analog Devices)公司推出的一款全集成的RF收发器芯片,广泛应用于无线通信系统,包括基于FPGA和ARM处理器的数码电视,卫星通信,雷达通信,军事通信和工业控制等领域。AD9361提供了广泛的无线频率范围、调制方式和数据速率,支持两个收发通道,每个通道具有广泛的动态范围和高测试速率,同时内置大量数字信号处理功能,可以帮助开发人员快速搭建出各种无线通信系统,缩短设计时间和提高设计效率。有其他文件资料需求可以私信。

2023-11-24 22:50:33 1661 14

原创 AXI数据流计算CRC8的代码

【代码】AXI数据流计算CRC8的代码。

2023-11-24 22:47:05 467

原创 AXI数据流计算CRC16的代码

【代码】AXI数据流计算CRC16的代码。

2023-11-24 22:46:56 428

原创 AXI数据流解码CRC8的代码

【代码】AXI数据流解码CRC8的代码。

2023-11-24 22:46:36 402

原创 AXI数据流解码CRC16的代码

【代码】AXI数据流计算CRC16的代码。

2023-11-24 22:46:27 376

原创 AXI数据流计算CRC32的代码

【代码】AXI数据流解码CRC16的代码。

2023-11-24 22:46:18 295

原创 AXI数据流计算CRC32的代码

【代码】AXI数据流计算CRC32的代码。

2023-11-24 22:46:09 421

原创 AXI数据流解码CRC32的代码

【代码】AXI数据流解码CRC32的代码。

2023-11-24 22:45:55 413

原创 一个寄存器转AXI数据流的代码

【代码】一个寄存器转AXI数据流的代码。

2023-11-24 22:45:50 410 1

原创 如何修改AD9361的输出功率

依次执行下面的SPI命令,就可以修改通道1和通道2的SPI命令了。

2023-11-24 22:43:29 784 1

原创 如何启用fast lock以及关掉fast lock功能

执行下列命令。

2023-11-24 22:43:11 634 2

原创 SDRAM笔记

sdram特性

2023-11-24 22:43:02 973 1

原创 xilinx FPGA multi boot之镜像切换

multi boot ICAP2 FPGA多镜像

2023-11-24 22:42:49 2893 11

原创 AD9361如何设置接收频率

获取源码:链接:https://pan.baidu.com/s/1fYBPfbSjxEYhmReTAQwCig?–来自百度网盘超级会员V3的分享。

2023-11-24 22:42:01 604 1

原创 AD9361如何设置发射频率

依次执行下面的SPI命令。

2023-11-24 22:41:38 826 2

原创 AD9361纯逻辑控制从0到1连载10-多芯片同步MCS以及射频同步方法

本文基于ZC706+FMCOMMS5的平台,介绍了AD9361的多芯片同步设计方法。这里的“同步”包含了基带同步以及射频同步。AD9361原生只支持基带同步,官方的名称叫MCS(Multi-chip Baseband Synchronization ),实际上配合外围的射频相位校准电路,也可以实现射频同步,这里的射频同步,严格上说只是通过基带信号的相位补偿,达到射频同步的效果,并不是真正意义的射频同步。2.SYNC从FPGA的IO出发,到达各9361的IO的延时要严格一样(布线等长)3.ADI开源C代码。

2023-11-24 22:39:48 792 1

原创 基于E310的纯逻辑代码实现AD9361射频收发

基于微相科技的E310平台实现AD9361的射频收发功能。采用纯逻辑控制的方式,不需要PS参与。实现了用VIO控制收发使能,发射功率,收发频率,数据接口时序控制,接收增益,BIST测试等功能。

2023-10-21 23:49:28 558 2

原创 用AD9361开发板做一个频谱分析仪

用AD9361实现数据接口,并做FFT计算,通过网口UDP协议传输给PC,在matlab中获取网口UDP数据并显示。从而实现一个简单的信号频谱分析

2023-05-11 18:44:51 1069 9

原创 AD9361纯逻辑控制从0到1连载9-调整数据和时钟的相位关系

解决AD9361数据端口没有数据的问题

2022-12-02 22:14:43 1563 2

原创 AD9361纯逻辑控制从0到1连载8-修改射频频率

如果修改AD9361的射频频率

2022-12-01 23:17:43 1162 4

原创 AD9361纯逻辑控制从0到1连载7-根据射频频率计算VCO参数

描述如何用verilog实现ad9361的profile设置

2022-04-19 15:17:32 1504 5

原创 AD9361纯逻辑控制从0到1连载6-fast lock之profile寄存器设置

前面讲到每个profile由16个8bit寄存器组成,TX和RX的定义是一样的,下面列出RX profile每个寄存器的定义:REG0 ~ REG4以及REG12[3:0]实际上是3个参数,lo_int( Integer Word),lo_frac(Fractional Word),lo_div(VCO Divider),射频频率计算公式如下:freq=REF_PLL*(lo_int+lo_frac/8388593)/(2**(lo_div+1))其中freq指工作的射频频率,REF_PLL指PLL

2021-04-15 17:25:38 2588 9

原创 AD9361纯逻辑控制从0到1连载5-fast lock的简介

AD9361正常更改射频频率需要设置一些寄存器,然后开启VCO校准,等待锁定。这个过程时间是比较长的,大概在37us~508us之间。如果你的系统是一个跳频的TDD系统,那相当于每个时隙都要浪费这个时间等待VCO锁定。为了解决这个问题,就需要用到Fast Lock功能。简单点说就是提前校准好每个频点,保存校准结果,下次要用的时候直接调用这个结果。这样子,每次切换频率到射频锁定的时间,就可以控制在10以内。前面提到保存校准结果以及调用结果,需要一个媒介,这个媒介叫profile。每个profile包含16个

2021-04-14 16:55:39 3752 7

原创 AD9361纯逻辑控制从0到1连载4-AD9361数据接口

参考文件《AD9361 Interface Spec v2.5.pdf》AD9361和FPGA的数据接口如下图中红色框标识。可以配置为CMOS模式和LVDS模式。根据不同的带宽需求,可以配置为不同的模式,如图:大部分情况下,设置为2T2R,DDR Bus,Dual Port Full Duplex模式,这种模式最大化使用了AD9361的资源,并且可以覆盖大部分其他模式的功能。如下图所示:收数据接口时序图如下:发数据接口时序图如下:根据以上模式选择,就可以写代码了,代码如下图:modu

2021-01-06 17:01:47 4552 13

原创 AD9361纯逻辑控制从0到1连载3-初始化模块

直接贴代码module ad9361_init( input clk, input arst, output reg read, output reg write, output reg [9:0] address, output reg [7:0] writedata, input [7:0] readdata, input wait

2021-01-04 20:17:24 2249 5

原创 AD9361纯逻辑控制从0到1连载2-将脚本转化为verilog代码

首先查看一下,上一章我们生成的脚本文件fdd_600m://************************************************************// AD9361 R2 Auto Generated Initialization Script: This script was// generated using the AD9361 Customer software Version 2.1.3//********************************

2020-12-31 12:52:39 3552 8

原创 AD9361纯逻辑控制从0到1连载1-生成初始化脚本

生成配置脚本是非常重要的一个环节,是后续所有操作的基础,下面按步骤介绍:第一步:安装AD9361 Evaluation Software 2.1.3具体安装步骤就不截图了,点击setup.exe,一直下一步就可以了。第二步:打开软件,点击Run Project Wizard第三步:物理通道和收发通道设置AD9361包含2路收和2路发,每路发可以选择2组物理通道中的一组(A或者B)作为最终的发射通道。每路收可以选择3组物理通道中的一组(A或者B或者C)作为收通道。每个物理通道都有最佳的工作频段,因

2020-12-30 18:11:46 5185 3

原创 AD9361纯逻辑控制从0到1连载0-SPI接口

标题@TOC欢迎使用Markdown编辑器你好! 这是你第一次使用 Markdown编辑器 所展示的欢迎页。如果你想学习如何使用Markdown编辑器, 可以仔细阅读这篇文章,了解一下Markdown的基本语法知识。新的改变我们对Markdown编辑器进行了一些功能拓展与语法支持,除了标准的Markdown编辑器功能,我们增加了如下几点新功能,帮助你用它写博客:全新的界面设计 ,将会带来全新的写作体验;在创作中心设置你喜爱的代码高亮样式,Markdown 将代码片显示选择的高亮样式 进行展示;

2020-12-30 17:42:32 5125 4

top.v

top.v

2024-01-27

频谱分析仪Qt视频显示

频谱分析仪Qt视频显示

2023-10-22

AD9361RFandBBPLLUserGuide2.4.pdf

AD9361RFandBBPLLUserGuide2.4.pdf

2022-09-02

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除