Verilog HDL 学习篇——流水灯

基于 黑金飓风4开发板+底板

 

硬件原理图

Verilog HDL 代码

module LED_MODULE
(
	input 	wCLK,	//输入时钟
	input 	wRST,	//复位信号
	output	[4:0]	wLED	//LED控制引脚,高电平亮
);

reg[25:0]	rTime;

assign wLED={rTime[25:22]};

always @ (posedge wCLK or negedge wRST)	//此处简单的使用26bit计数,将高4位作为led输出
begin
	if(!wRST)begin
		rTime<=26'H00000000;
	end
	else if(rTime==26'D50000000) begin
		rTime<=26'D0;
	end
	else begin
		rTime<=rTime+1'b1;
	end	
end

endmodule

 

RTL图


  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值