自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(13)
  • 资源 (11)
  • 收藏
  • 关注

原创 基于Verilog HDL的FFT算法硬件实现(8点,三级流水线,DIT-FFT)

三级流水,8点fft算法verilog实现

2023-02-13 14:09:49 4076 2

原创 FFT原理(基2DIT-FFT)及C语言编程思路及实现

基2DIT-FFT原理及c语言编程思路

2023-02-07 10:52:22 11476 13

原创 RISC-V单周期处理器设计(指令和控制器)(二)

RISC-V单周期处理器指令和控制器

2022-03-22 14:42:28 5499

原创 RISC-V单周期处理器设计(基本介绍和数据通路)(一)

RISC-V单周期处理器介绍和数据通路

2022-03-16 17:31:51 8280 4

原创 RISC-V指令系统

一.介绍指令系统:计算机语言中的单词成为指令,而这个词汇表就是指令系统。指令集架构(ISA)x86:传统pc市场的主流,善于处理大数据。ARM:移动端设备(手机)市场,处理快数据为主。RISC-V:当需要同时兼顾数据传输速度和传输量时,RISC-V更有优势。更重要的是RISC-V是开源的。二.计算机硬件的操作主要包括:算术运算、逻辑运算、数据传输、移位操作、条件分支、无条件跳转。数据存储模式:大端存储,小端存储。大端:高...

2022-03-15 14:43:20 5525 2

原创 带符号整数乘法器设计

对于一个4bit整数,如果表示无符号整数,它的范围是0-15;根据上面化简关系,A4=-a3*2^3+A3,其中,A3可以表示为使用低三位表示的无符号整数A3=a2a1a0。A4=-a3*2^3+a2*2^2+a1*2^1+a0*2^0 例:A4=4'b1111=-1 = -8+4+2+1=-1。B4=-b3*2^3+b2*2^2+b1*2^1+b0*2^0 例:B4=4‘b1010=-6 = -8+0+2+0=-6。a3B3*2^3=a3(b2b1b0)*2^3 这里乘2^3可以理解为左移3位。

2024-07-08 23:22:01 286

原创 axi-lit总线学习记录【verilog实现master和slave端】

AXI LIT学习笔记

2024-02-03 14:57:55 1543

原创 硬件电路乘法器设计(4bit,8bit乘法器,使用移位相加法实现4bit乘法器,并使用4bit乘法器实现8bit乘法器,verilog)

4bit乘法器,使用移位相加法实现,并使用4bit乘法器实现8bit乘法器

2023-09-23 15:10:25 5204

原创 【 FPGA 】序列检测器 11010 (mealy状态机,moore状态机)

状态机是硬件电路设计的常用的描述工具,也是电路设计的重要思想。很早之前我就知道mealy状态机和moore状态机,但是对两者的差别不是非常的清楚,最近在学习系列检测器的设计时对这两种状态机和一段式、三段式状态机有了更深刻的了解,在这里分享自己的见解给大家。有什么理解不准确的地方也希望大家指正。

2023-08-26 22:14:45 5622 15

原创 从傅里叶级数(FS)到傅里叶变换(FT)最后到离散傅里叶变换(DFT)

傅里叶变换学习

2023-01-18 18:18:25 1260

原创 串行加法器和进位旁路加法器

对串行加法器的介绍以及一种优化策略

2022-12-26 23:36:00 2713 2

原创 RISC-V单周期处理器设计(寄存器堆设计)(三)

RISC-V寄存器堆设计

2022-03-23 15:37:32 3345

原创 OV7725摄像头模块SCCB通信

自己学习ov7725时的一些小总结

2022-03-04 12:03:36 1083

使用Xilinx verification ip验证自己设计的axi lit slave master端

axi lit协议实现及对xilinx vip的基本使用 包括slave端和master端协议电路设计的实现并使用xilinx verification ip验证自己的设计

2024-02-02

8bit整数乘法器(verilog实现)

4bit无符号整数乘法器,通过移位相加法实现,并使用4bit整数乘法器实现8bit乘法器

2023-09-18

verilog序列检测器,序列11010,(mealy状态机和moore状态机)

verilog序列检测器,序列11010 mealy状态机和moore状态机实现 一段式、三段式状态机实现

2023-08-26

基2,8点DIT-FFT,三级流水线verilog实现

基2,8点DIT-FFT,三级流水线verilog实现,输入采用32位输入,计算精度较高,且注释清楚,方便参考。

2023-02-12

DIT-FFT算法c语言实现

任意位数fftc语言实现

2023-02-06

常用的32位加法器(串行加法器,旁路加法器,分支选择加法器,超前进位加法器)

使用verilog描述出上述加法器电路

2022-07-16

使用74ls194和74ls161 和逻辑门实现的彩灯控制器

简单的彩灯控制器

2022-03-03

c语言课程设计 歌曲管理系统(链表)

大一时,c语言课程设计写的

2022-03-03

stm32 f103定时器编码器模式

使用带编码器电机时,使用定时器编码器模式更加方便

2022-03-03

stm32 f103超声波模块和步进电机

适合学习超声波模块和步进电机,自己学习这两个模块时写的小代码。

2022-03-03

Verilog 驱动vga实现一个数字时钟

第一次学习Verilog时做的一个小项目,代码写的很烂,但是基本功能都有,时间显示,计时,闹钟等。仅供学习使用

2022-03-03

有人云和fpga modbus rtu通信

使用verilog 驱动有人云终端,使用modbus 协议收发数据

2022-03-03

modbus crc校验码计算 verilog

一次项目中使用,计算其他位数校验码要修改一下代码,关键是理解

2022-03-03

fpga驱动ws2812

一次做项目时使用到fpga驱动一个ws2812灯带,代码参考网上,自己理解和改了一点,希望能给大家带来帮助

2022-03-03

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除