格雷码与二进制之间转换及VHDL实现

一、什么是格雷码?

格雷码,又叫循环二进制码或反射二进制码,格雷码是我们在工程中常会遇到的一种编码方式,它的基本的特点就是任意两个相邻的代码只有一位二进制数不同,这点在下面会详细讲解到。格雷码的基本特点就是任意两个相邻的代码只有一位二进制数不同,这点很重要。常用的二进制数与格雷码间的转换关系如下表:

十进制数自然二进制数格雷码十进制数自然二进制数格雷码
000000000810001100
100010001910011101
2001000111010101111
3001100101110111110
4010001101211001010
5010101111311011011
6011001011411101001
7011101001511111000

二、二进制与格雷码之间的转换及VHDL实现

2.1 二进制码转化成格雷码

二进制码转换成二进制格雷码,其法则是保留二进制码的最高位作为格雷码的最高位,而次高位格雷码为二进制码的高位与次高位相异或,而格雷码其余各位与次高位的求法相类似。

 VHDL实现:

function bin_to_gray_conv (
	binary	: in std_logic_vector(DATA_WIDTH - 1 downto 0)) return std_logic_vector is
			
		variable bin_to_gray_conv    : std_logic_vector(DATA_WIDTH - 1 downto 0);
	begin
		bin_to_gray_conv := '0' & binary(DATA_WIDTH - 1 downto 1) xor binary;
		    
		return (bin_to_gray_conv);
end function bin_to_gray_conv;

2.2 格雷码转换成二进制码

二进制格雷码转换成二进制码,其法则是保留格雷码的最高位作为自然二进制码的最高位,而次高位自然二进制码为高位自然二进制码与次高位格雷码相异或,而自然二进制码的其余各位与次高位自然二进制码的求法相类似。

VHDL实现:

function gray_to_bin_conv (
	gray	: in std_logic_vector(DATA_WIDTH - 1 downto 0)) return std_logic_vector is
			
		variable i                    :  integer;   
		variable gray_to_bin_conv     : std_logic_vector(DATA_WIDTH - 1 downto 0);
	begin
		gray_to_bin_conv(DATA_WIDTH - 1) := gray(DATA_WIDTH - 1); 
		   
		i := DATA_WIDTH - 2;
		
		while (i >= 0) loop
			gray_to_bin_conv(i) := gray_to_bin_conv(i + 1) xor gray(i);    
			i := i - 1;
		end loop;
		
		return (gray_to_bin_conv);
end function gray_to_bin_conv;

 

  • 4
    点赞
  • 41
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值