自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(39)
  • 收藏
  • 关注

原创 嵌入式Linux(树莓派)环境设置和交叉编译

1

2022-06-22 21:08:17 174 1

原创 基于FPGA的VGA协议实现

2022-06-11 20:42:53 168

原创 状态机专题练习

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档状态机专题练习要求一、1. 新建一个项目2. 新建一个Verilog HDL文件3. 新建training.v的Verilog HDL文件4. 新建training_tb.v的Verilog HDL文件5. 新建 Tcl Script 脚本5.其他设置7.仿真结果二、1. 新建一个的Verilog HDL文件2. 新建一个key_debounce的Verilog HDL文件3.建立training.v文件4. 建立training_tb..

2022-05-07 15:22:44 158

原创 数字电路基础与Quartus-II入门

数字电路基础与Quartus-II入门数字电路基础与Quartus-II入门数字电路基础与Quartus-II入门一、一、Quartus安装二、门电路实现D触发器1.创建工程2.门电路图3.时序波形三、D触发器电路1.创建工程2.电路图四、参考)提示:以下是本篇文章正文内容,下面案例可供参考一、一、Quartus安装下载资源这里我选择的是13.1版本的夸图斯,且是在intel官网进行的下载破解网络上破解夸图斯的教程有很多,我也是看了很多篇教程二、门电路实现D触发器1.创建工程打

2022-03-18 14:53:27 407

原创 Email-FTP-RTSP协议实践研究

Email-FTP-RTSP协议实践研究1.telnet 命令测试 SMTP 服务(QQ邮箱发邮件)1.POP32.SMTP(本次使用)3.IMAP4.开启 QQ 邮箱的 SMTP 服务5.开启 telnet 客户端6.telnet 命令测试 SMTP 服务2.Ftpd服务(搭建FTP服务)1.FTP协议2.HTTP1.1协议3.Deepin系统上安装一个Ftpd服务4.上传文件3.VLC1.[全世界公开摄像头网站](https://www.skylinewebcams.com/)2.fiddler 分析4

2021-12-30 17:20:44 182

原创 RT-thread Nano移植

RT-thread Nano移植一.获取 RT-Thread NANO软件包二、打开STM32CubeMx1.添加NANO软件包2.安装3.创建工程三.keil代码实现1.在Application/User文件夹,新建app_rt_thread.c文件,并添加如下代码2.在usart.c文件添加如下代码:3,在main.c文件添加如下代码:四.结果五.参考一.获取 RT-Thread NANO软件包链接二、打开STM32CubeMx1.添加NANO软件包添加链接2.安装3.创建工程

2021-12-29 20:09:02 2375

原创 第14周---AD原理图设计与Clion源码工具学习

第14周---AD原理图设计与Clion源码工具学习一.创建项目结果参考一.创建项目用 CLion 创建新工程,选择 STM32CubeMX工程创建好后,点击 Open with STM32CubeMX,进入 CubeMX命名工程文件并设置Project Name 要重新填写一下(因为换芯片的过程,其实是 Cube 新建了一个 ioc 文件),建议填写之前的工程名和文件目录,这样就可以把之前不想要的那个 .ioc 文件覆盖掉,然后" Toolchain/IDE "那里,选择 SW4ST

2021-12-25 18:14:39 264

原创 第15周实验---STM32+W5500网络通信

第15周实验---STM32+W5500网络通信一、Modbus/TCP协议1.查询报文2.响应报文3.代码1.初始化从机网络2.简单响应函数3.main函数循环等待连接三、效果四.参考一、Modbus/TCP协议1.查询报文00 6D 00 00 00 06 01 03 00 00 00 0100 6D 查询编号00 00 协议00 06 数据包长度01 设备编号03 功能码00 00 起始地址00 01 查询寄存器个数2.响应报文00 6D 00 00 00 05 01 03

2021-12-25 17:59:41 265

原创 Java基于OpenCV+Hog+SVM实现微笑检测

Java基于OpenCV+Hog+SVM实现微笑检测1、配置Java的OpenCV环境2.进行训练1.读取所有的图2.随机分组3.计算梯度值4.提取Hog值5.训练模型6.模型7.结果三、预测四,参考1、配置Java的OpenCV环境参考Java开发Opencv之(一)开发环境安装与配置2.进行训练1.读取所有的图public static void getFace(String filePath) { System.out.println("读取人脸......");

2021-12-24 17:54:55 1982

原创 数字图像与机器视觉基础补充(2)

数字图像与机器视觉基础补充1.图片预处理(1读取图片(2结果2.切割字符(1代码(2结果3.最终结果4.参考1.图片预处理(1读取图片# 车牌路径file_path="./car/"# 读取所有车牌cars = os.listdir(file_path)cars.sort()src = cv2.imread(file_path+car)img = src.copy()cv2.circle(img, (145, 20), 10, (255, 0, 0), thickness=-1)cv

2021-12-24 17:27:49 2056

原创 第13周-Java网络编程进化史:从IO到NIO再到Netty

第13周-Java网络编程进化史:从IO到NIO再到Netty一.学习和理解网上参考案例,实践练习其中的demo代码1)分别基于IO、NIO、Netty的Java网络程序(如基于TCP的C/S模式的聊天程序)IO:1.实现2.结果NIO:1.实现2.结果NETTY:1.实现2.结果2)基于Web的聊天室(比如用Springboot+netty实现)1.User类2.SocketSession类3.SessionGroup4.WebSocketTextHandler类5.WebSocketServer类6.i

2021-12-17 20:27:08 647

原创 实验-uc/os3多任务与实时日历

实验-uc/os3多任务与实时日历一.多任务1、项目创建2.创建多任务3.效果二.请编程实现STM32的日历读取、设置和输出。1.创建项目2.RTC操作3.读取RTC初始日期4.结果5.输出当前时间四.参考一.多任务1、项目创建选择stm32f103c82.创建多任务定义相关变量以及任务函数//任务控制块static OS_TCB AppTaskStartTCB;OS_TCB LED1TaskTCB;//PA4OS_TCB LED2TaskTCB;//PA5OS_TC

2021-12-05 00:39:56 137

原创 数字图像与机器视觉基础补充(1)

数字图像与机器视觉基础补充1一.实践操作1.bmp图片不同位图2.用notepad打开3.位图大小计算4.头文件的大小计算:二.根据提供的资料完成以下图像处理编程任务1.用奇异值分解(SVD)对一张图片进行特征值提取(降维)处理2.采用图像的开闭运算(腐蚀-膨胀),检测出2个样本图像中硬币、细胞的个数。3.采用图像梯度、开闭、轮廓运算等,对图片中的条形码进行定位提取;再调用条码库获得条码字符。三.参考一.实践操作1.bmp图片不同位图打开一个彩色图像文件,将其分别保存为 32位、16位彩色和256色、

2021-12-03 11:56:11 196

原创 游戏客户端

游戏客户端编程一.界面设置二.代码实现三.结果四.参考编写一个网游客户端,游戏服务器的校园内网IP地址为 10.1.230.74,端口为3900,采用TCP连接。连接成功后,可以将服务器发来的消息不停地显示在 listbox 中;客户端要发给服务器的数据,通过 textbox输入 或者点击button;能够播放背景音乐;每隔30秒,变换一次游戏背景图片。一.界面设置二.代码实现private NetworkStream stream; private TcpClient t

2021-11-27 19:38:56 3633

原创 基于I2C/SPI的温湿度采集与OLED显示

基于I2C/SPI的温湿度采集与OLED显示1.要求2.实现一.温湿度采集结果展示二.OLED显示结果展示三.参考1.要求学习I2C总线通信协议,使用STM32F103完成基于I2C协议的AHT20温湿度传感器的数据采集,并将采集的温度-湿度值通过串口输出。具体任务:1)解释什么是“软件I2C”和“硬件I2C”? (阅读野火配套教材的第23章“I2C–读写EEPROM”原理章节)2)阅读AHT20数据手册,编程实现:每隔2秒钟采集一次温湿度数据,并通过串口发送到上位机(win10)。理解OL

2021-11-26 17:27:33 756

原创 C#使用TCP/UDP协议通信

C#使用TCP/UDP协议通信一.控制台程序使用 UDP 通信1.创建项目2.代码3.运行结果二.使用 UDP 通信1.发送端2.接收端3.结果三.Form窗口程序使用 TCP 通信1.创建客户端项目2.客户端设计界面(0从工具箱内拖 2 个 TextBox 和 1 个 Button 控件。(1设置输入框属性:(2设置消息显示界面属性:(4设置发送消息按钮属性:(5设置窗体属性:(6客户端代码实现(7服务器端代码(8运行(9通过wireshark抓包(10 Form窗口程序使用 TCP 通信四.参考一.控

2021-11-24 14:47:14 1964

原创 网络协议抓包分析与爬虫入门

网络协议抓包分析与爬虫入门网络协议抓包分析与爬虫入门1.网络协议抓包分析(1、继续练习wireshark抓取网络数据包。在两台及两台以上的电脑(已知IPv4地址)上运行 “疯狂聊天室”程序,通过wireshark抓包:(2疯狂聊天程序(3wireshark抓包2.爬虫入门(1爬取交大信息通知3、参考网络协议抓包分析与爬虫入门1.网络协议抓包分析(1、继续练习wireshark抓取网络数据包。在两台及两台以上的电脑(已知IPv4地址)上运行 “疯狂聊天室”程序,通过wireshark抓包:1)分析此程

2021-11-20 18:47:54 1527

原创 SVM算法应用综合练习

SVM算法应用综合练习一.SVM算法应用综合练习1.将libsvm加入新建项目2.在libsvm文件夹中打开svm-toy.exe3.运行4.line.txt 线性模型;poly.txt多项式模型;RBF.txt 高斯核模型二.人脸识别1.拍摄20张脸部图片2.特征点采集3.人脸识别三.参考一.SVM算法应用综合练习1.将libsvm加入新建项目2.在libsvm文件夹中打开svm-toy.exe3.运行4.line.txt 线性模型;poly.txt多项式模型;RBF.txt 高斯核模型

2021-11-18 21:43:01 870

原创 基于OpenCv和机内码实现在图片上追加文字

基于OpenCv和机内码实现在图片上追加文字一.原理1.机内码2.区位码二.4.效果![在这里插入图片描述](https://img-blog.csdnimg.cn/8c6e8e3af69344819d7e2776ea935a41.png?x-oss-process=image/watermark,type_ZHJvaWRzYW5zZmFsbGJhY2s,shadow_50,text_Q1NETiBAeHlqX3h5anh5ag==,size_20,color_FFFFFF,t_70,g_se,x_16)三

2021-11-12 22:22:42 69

原创 SVM深入理解&人脸特征提取

SVM深入理解&人脸特征提取第一问:对照参考资料“支持向量机-课件-518.docx”,将其中的例子代码在Jupyter中重新做一遍一.多项式分类函数对鸢尾花、月亮数据集进行SVM训练1.SVM处理线性数据集(鸢尾花数据集)(1)引入python库(2)选取数据集的特征(3)可视化(4)定义绘制决策边界函数与绘制决策边界(5)实例化SVC,并传入参数C值2.SVM处理非线性数据集(月亮数据集)(1)引入python库(2)构建月亮的特征数据并可视化(3)生成噪声点并可视化(4)定义非线性SVM分类函

2021-11-12 10:15:30 1219

原创 STM32中断与DMA通信编程

这里写自定义目录标题一、中断模式编程2.keil代码修改3.烧录4.结果二、串口中断1.cubeMX创建项目2.代码修改3.烧录4.结果1.cubeMX创建项目2.代码修改3.烧录4.结果四、总结五、参考一、中断模式编程1.cubeMX创建项目3.烧录4.结果二、串口中断STM32中断与DMA通信编程一、中断模式编程2.keil代码修改加粗样式3.烧录4.结果二、串口中断1.cubeMX创建项目2.代码修改3.烧录4.结果void HAL_UART_RxCpltCallb

2021-11-08 22:59:27 58

原创 Fiddler抓包软件,抓取相应的上网数据包(建议自行选择网站),对协议进行验证。

Fiddler抓包软件,抓取相应的上网数据包(建议自行选择网站),对协议进行验证。一.下载wireshark二.选择网络三.选择过滤器四. 找到TCP三次握手五.参考一.下载wireshark安装默认选择后启动二.选择网络三.选择过滤器选择想捕获的网络协议类型四. 找到TCP三次握手在应用层中可在图中找到第一次握手sequence number=0第二次握手acknowlegment=1第三次握手sequence number=1acknowlegment=1五

2021-11-06 14:39:24 2281

原创 学习线性判别准则(LDA)和线性分类算法(支持向量机,SVM)。采用Sklearn库

学习线性判别准则(LDA)和线性分类算法(支持向量机,SVM)。采用Sklearn库一.编程生成模拟数据集,进行LDA算法练习1.包引入与生成模拟数据集2.数据集分组二.对月亮数据集进行SVM分类,分别采用线性核、多项式核和高斯核以及不同的参数(比如惩罚系数C),对比分析结果三.总结四.参考一.编程生成模拟数据集,进行LDA算法练习1.包引入与生成模拟数据集from sklearn.discriminant_analysis import LinearDiscriminantAnalysis as l

2021-11-05 18:45:39 297

原创 2021-10-31

西瓜决策树1.理论2.代码3.结果1.理论纯度(purity)对于一个分支结点,如果该结点所包含的样本都属于同一类,那么它的纯度为1,而我们总是希望纯度越高越好,也就是尽可能多的样本属于同一类别。那么如何衡量“纯度”呢?由此引入“信息熵”的概念。信息熵(information entropy)假定当前样本集合D中第k类样本所占的比例为pk(k=1,2,…,|y|),则D的信息熵定义为: Ent(D) = -∑k=1 pk·log2 pk (约定若p=0,则log2 p=0)1

2021-10-31 20:45:24 66

原创 sklearn多元线性回归预测房价

sklearn多元线性回归预测房价多元线性回归1.加入应用模型2.对数据进行清洗后再求解3.原数据相关性矩阵4.Z方法处理的数据相关性矩阵5.IQR方法处理的数据相关性矩阵多元线性回归import pandas as pdimport numpy as npimport mathimport matplotlib.pyplot as plt # 画图from sklearn import linear_model # 线性模型data = pd.read_csv('D:/EdgeDownloa

2021-10-31 17:46:24 338

原创 HAL库实现流水灯

HAL库实现流水灯一.STMEWCUBE项目创建二.在keli中使用三.烧录四.HAL库实现stm32简单串口通信五、参考一.STMEWCUBE项目创建2.安装对应板3.创建4.选择stmf103c85.配置二.在keli中使用代码如下while (1) { HAL_GPIO_WritePin(GPIOA,GPIO_PIN_4,GPIO_PIN_SET); HAL_Delay(1000); HAL_GPIO_WritePin(GPIOA,

2021-10-25 14:22:38 4301

原创 从JDBC到Mybatis

从JDBC到Mybatis一.设置git二.Mybatis概述三.代码四.结果一.设置git1.setting----Git2.github中添加库二.Mybatis概述1.MyBatis是一个Java持久化框架,它通过XML描述符或注解把对象与存储过程或SQL语句关联起来,映射成数据库内对应的纪录。MyBatis是在Apache许可证 2.0下分发的自由软件,是iBATIS 3.0的分支版本,其维护团队也包含iBATIS的初创成员。与其他对象关系映射框架不同,MyBatis没有将Java对

2021-10-22 14:29:53 160

原创 学习和理解STM32F103系列芯片的地址映射和寄存器映射原理

STM32F103寄存器方式点亮LED流水灯一.程序设计思路1.GPIO模式2.配置代码3.汇编代码2.效果1.总结以 STM32最小系统核心板(STM32F103C8T6)+面板板+3只红绿蓝LED 搭建电路,使用GPIOB、GPIOC、GPIOD这3个端口控制LED灯(最高时钟2Mhz),轮流闪烁,间隔时长1秒。一.程序设计思路可以想象出,GPIO与时钟相关的寄存器都是很常用的寄存器,如果每一次操作这些IO口都需要看数据手册的话,太累,所以人家做芯片的把常用的寄存器对应的地址都设置好了,并放到

2021-10-19 22:13:03 287

原创 GCC背后的故事&OpenCV相识何必曾相逢

目录一. 学习并掌握可执行程序的编译、组装过程。1.先前准备2.静态库链接3.动态库链接二.opencv下载1.图片2.视频一. 学习并掌握可执行程序的编译、组装过程。1.先前准备main.cx2x.c.x2y.c2.静态库链接.先gcc -c main.cgcc -c x2x.cgcc -c x2y.c然后ar -crv libmyx.a x2x.o x2y.o然后gcc -o xx main.c libm...

2021-10-17 21:45:31 423

原创 STM32下C语言与汇编语言混合编程

目录一.创建项目并添加文件二、C语言调用汇编三、汇编语言调用C函数一.创建项目并添加文件代码如下、main:func:二、C语言调用汇编1.编译,调试程序2.要求将原汇编语言 Init_1函数的类型改为 int Init_1(init) ,此函数功能修改为 传入一个整型数x,函数运行后返回整型数 x+100。请编程实现,并仿真跟踪调试;main:func:编译并调试结果如下可发现此时,xx的值为0x6E,...

2021-10-14 18:25:55 51

原创 利用IDEA自带的Git功能,将第二周第1小题“Spring Boot 开发入门--- helloworld web”的IDEA项目代码 在本机建立git仓库,并同步(上传)至自己的Github网站上

目录1.创建github账户2.设置3.保存密匙1.创建github账户2.设置然后右键新建一个本地的工作文件夹(这个文件夹内用于存放需要提交的java项目) ,就是myLocalRepositories内的项目提交本地后,版本信息会到.git文件夹中,提交远程时候,本地库会提交到远程仓库。创建好本地仓库和工作文件夹后,现在进入eclipse中设置git插件,我安装的eclipse默认已经有git插件,如果没有的可以到eclipse market中搜索安装,安装后,.

2021-10-12 12:17:16 75

原创 JDBC连接MySql数据库

1.安装MYSQL设置密码,其他默认2.接下来我们在 MySQL 中创建 RUNOOB 数据库,并创建 websites 数据表,表结构如下:3.插入一些数据:4.建表完成

2021-10-10 13:54:12 211

原创 ARM汇编程序调试

目录1.相关设置2.编程部分1.相关设置在debug中选择use simulator 选项选择自己需要的芯片下载对应的芯片2.编程部分代码如下结果如下

2021-10-01 15:14:55 53

原创 使用Excel以及jupyter编程

目录1.使用excel进行线性回归分析2.使用jupyter,实现最小二乘法3.在jupyter中使用sklearn1.使用excel进行线性回归分析文件中选择选项选择加载项选择最下面的转到选择回归20样本200样本200样本2.使用jupyter,实现最小二乘法代码如下结果如下3.在jupyter中使用sklearn代码如下结果如下...

2021-10-01 15:09:46 453

原创 “单例模型”和“工厂模式”

一:单例模式分为懒汉模式、饥汉模式、双重校验锁、静态内部类、枚举1.懒汉模式:/** * @author hz * @version 1.0 */public class Singleton { private static Singleton instance = null; private Singleton(){} public static Singleton getInstance(){ //如果还没有被实例化过,就实例化一个,然后返回

2021-09-20 21:39:20 90

原创 了解HTTP协议,掌握B/S之间的 请求Request、服务响应Response和get、put、post等主要概念和技术方法

在com.example.resouce下建立bean,controller,manager,service文件夹分别创造Count类,ResourceController类,ResourceManager类,ResourceService类然后在ResourceApplication中运行前面运行失败了在cmd中先找到占用端口8080的pid,并停止运行成功运行进行get,put与post...

2021-09-20 21:29:44 106

原创 在Idea上创建基于Spring Boot的web 项目

目录一.简单的网页输出1,在java文件夹下创建index.html2.在com.example.test下建造文件夹controller3.运行结果如下​一.简单的网页输出1,在java文件夹下创建index.html代码如下,同来写网页界面2.在com.example.test下建造文件夹controller再创建类hellocontroller代码如下3.运行结果如下...

2021-09-20 21:15:09 50

原创 基于ubuntu的简单程序编写与makefile的基础使用

目录一.加法程序编写1.主程序​2.子程序3.运算结果​二.makefile的简单应用一.加法程序编写1.主程序默认情况下,gcc会将编译过后的文件命名为a.out,当然我们也可以使用下面的代码将编译的文件命名为其他名字。保存后用gcc shuang.c再使用./a.out 即可输出结果2.子程序3.运算结果二.makefile的简单应用利用sudo cc -c [文件名]将文件转换为.o后缀把主...

2021-09-15 20:56:32 144

原创 idea安装流程以及spring的简易使用

启动安装程序按照下面的展示图逐步选择Spring的初步使用:输出helloworld创建HelloWorld类修改配置函数主函数如下输出结果

2021-09-08 09:26:21 53

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除